You are on page 1of 107

RÉPUBLIQUE ALGÉRIENNE DÉMOCRATIQUE ET POPULAIRE

MINISTÈRE DE LA FORMATION ET DE L’ENSEIGNEMENT


PROFESSIONNELS

INSTITUT NATIONAL DE LA FORMATION PROFESSIONNELLE


CHAHID BOUKHLOUF MOHAMED BEN EL HADI –BATNA-

MÉMOIRE DE FIN D’ÉTUDE


EN VUE DE L’OBTENTION D’UN BREVET
DE TECHNICIEN SUPÉRIEUR EN
ÉLECTRONIQUE INDUSTRIELLE

THEME

Conception d’une carte de Commande de


la Machine à Courant Continu par un
microcontrôleur PIC 16F877A

ENCADRÉ PAR: ETUDE ET REALISATION PAR:

*ZIDANI GHANIA - MAATAR NADIR


*Dr DRID SAID - BESEKHRIA LEMBAREK

PROMOTION : Mars 2009


MAATAR NADIR & BENSEKHRIA LEMBARAK

ÉLECTRONIQUE INDUSTRIELLE

MARS 20009
DEDICECE
Je dédie ce mémoire
A Ma mère…
Et à ma mère…
Et aussi à ma mère…
*****
A mon père que je respecte
Beaucoup…
*****
A mes chers frères

Ilyes, Ahmed, Farid Zergiune, Abd Elghafour Messamah


Mehenna Brahim; Med Cherif Belbahria, Med Tahar
Aouragh, Houssem Eddine Boubiche, Jamel Eddine
Aguilal, Bilel Arrousse
Mahmoud, Sami Ziani, Sif Eddine Beddar
Abd Elkarim Guerrouf
Dambri Lina et sans oublier ma sœur Imane…!!!

Maatar Nadir
DEDICECE
Je dédie ce mémoire
A Ma mère…
Et à ma mère…
Et aussi à ma mère…
*****
A mon père que je respecte
Beaucoup…
*****
A ma sœur et mes chers frères

Abd Elghafour Messamah , Zghini saber , Lamin , Ali ,


Houssem, Taher, toute la section d’électronique industrielle
et tous mes amies sans oublier B.Malika , Baha Lamia…

Bensekhria Lembarek
« Remerciement »
Nous remercions avant tous Dieu le tout puissant pour la volonté, la
santé et la patience ce qui nous a permis d’en arriver jusque là.
Ainsi que nos parents pour leur aide, leur patience, leur tendresse, et leur
amour.
A les personnes qui nous a fait l’honneur de nous encadrer,

Mlle
Mlle Zidani Ghania
Dr Drid Said

Exclusivement :
Tous les enseignants qui ont contribué à notre formation et surtout
Mr. Tour abd elhamid, Mme. Ferhat.N, Mr. elouanesse
Et Mr. Adassi

Et sans oublier tous les membres de l 'institut national de formation


Professinnelle Batna.
INTRODUCTION GENERALE

Introduction général.............................................................................................. 1

PREMIERE PARTIE
Etude Théorique

CHPITRE I : Les Machines à Courant Continu

I.1. Introduction........................................................................................................... 3
I.2. Organisation de la machine................................................................................... 3
I.2.1. Pôles inducteur. ........................................................................................ 3
I.2.2. stator ou inducteur....................................................................................... 4
I.2.3. INDUIT « Rotor »……………………………..………………………. 4
I.2.4. Collecteur……………..………………………………………….……… 4
I.3. Organes mécaniques……..……………………………………………..….......... 4
I.4. Principe de fonctionnement…………...……….…………………………..……. 5
I.5. Force électromotrice………………..……….…………………………………... 6
I.6. fonctionnement………..……………..…….……………………………………. 6
I.6.1. fonctionnement en moteur …..…………………………………………… 6
I.6.2. fonctionnement en génératrice……………………………………............ 7
I .6.3 Bilan des puissances……………………………….....………………...… 7
I.7. Caractéristiques mécaniques et électriques…………...…………………............ 8
I.8. Les différents types de moteurs………………..…………..……………………. 8
a .En dérivation……………………………..…………….…………………….. 8
b. En série…………………………..…………………….…………….………. 9
c. Composé…………………….……………………….……….……………… 9
c.1 Courte dérivation….…………………………….……………….………. 9
c.2 Longue dérivation……………………………….……………………… 9
I.9. Point De Fonctionnement……………………………………………………… 9
I.10.Variation de la vitesse de la machine à courant continu……………................ 10
I.11. Procédé de commande d’un moteur à courant continu………………………. 10
I.10.1. Réglage rhéostatique………………………………...……..…..........…. 11
I.10.2. Réglage par le flux…………………………….………..……………… 11
I.10.2. Réglage par tension…………………………...……..………………….. 11
I.12. Avantages et inconvénients…………………………..………..…………...….. 11
I.13. conclusion………………………………………………………………...…. 12

CHPITRE II : Le PIC 16F877A

II.1. Introduction………………………………………..………………………….. 13
II.2. Les caractéristiques du PIC 16F877A………………………………………. 14
II.3. Description générale du PIC 16F877A…………..………………………..….. 15
II.3.1. Architecture externe……………………….…………………………… 15
ІІ.3.2. Architecture interne…………………….…..…………………...……….. 17
ІІ.3.3. Organisation de la mémoire………………..…….……………..……… 18
ІІ.3.4. Les registres du PIC 16F877A………..…………………..……..………. 20
ІІ.3.5. Les timers…………………………..……..………………………........... 21
ІІ.3.6. Les interruptions………………………….………………………........... 22
ІІ.3.7. Les ports d'entré sorties………………………….…………………..…. 23
ІІ.3.8. Configuration des portx, les registres PORTX et TRISX…….……..…. 24
ІІ.3.9. Le convertisseur analogique numérique…………….………………..… 24
ІІ.3.10. Les modules CCP1 et CCP2………………..………………………… 27
II.4. Conclusion…………………………………………….…………………….… 27

CHPITRE III : Les Convertisseurs Statiques Et les Interrupteurs Electroniques

III.1. Introduction…………………………………………………….…………… 28
III.2. Les différents types des convertisseurs électroniques………...…………….. 28
III.3. Introduction -intérêt des hacheurs-…………………….…………….….…... 29
III.3.1. Etude de quelques structures de hacheurs non réversibles…….….... 30
a. Hacheur dévolteur « ou série »…….……..……..……………. 30
b. Hacheur survolteur « ou parallèle »…………..……………….… 30
c. Hacheur à stockage inductif……………………………….…… 31
III.3.2. Hacheurs réversibles……………………………………….….… 32
a. Hacheur série réversible en courant……………….………..…… 32
b. Hacheur réversible en tension…………………..……...…..…. 34
c. Hacheur réversible en tension et en courant………………..….. 35
III.4. Principe Du Hacheur Série « Dévolteur »…………………………………. 35
III.5. Composants de puissance et technique mli utilisée pour………………….. 36
Commande de la machine à courant continu
III.5.1. Les différents semi-conducteur………………………………..….. 37
a. La Diode………………………………………………………... 37
b. Le thyristor…………………………………………………….. 37
c. Les transistors………………………………………………….. 38
d. Thyristor GTO « Gate Turn Off »……..………………..…..….. 38
e. Transistor Bipolaire à Grille Isolée IGBT…….……………....... 39
f. Transistor MOSFE…..…………………………………………... 39
III.5.2. Le choix des composants…………………………………….……. 40
III.5.3. Technique de commande………………………………………….. 40
III.5.4. Principe de la « MLI »………………….………………….……... 41
III.5.5. Stratégies d’obtention d’une onde « MLI »…………… …………. 42
a. Modulation d’échantillonnage naturel………………………..... 42
b. La modulation à deux fronts………………………………….... 42
c. La modulation à un seul front………………………………….. 43
III.6. Conclusion………………………………………………………………… 43

CHAPITRE IV: Etude et Réalisation Pratique

IV.1.Introduction…………………………………………………………………. 44
IV.2. Les différents blocs de la réalisation………………………..……………. 45
IV.2.1. Boc de commande et de régulation………………….……………… 45
IV.2.2. Bloc d'interface (isolation)……………………………………….... 47
a. Principe d''isolation……………………….……………………. 47
b. Isolation par photo-coupleur….………………………………….. 47
IV.2.3. Bloc de puissance (hacheur série) …………………………………. 49
a. Protection de la grille du MOSFET…….……………...………… 49
b.Circuit de puissance………………………….……...……………. 50
c.Circuit d'aide a la commutation (CALC)…….…………………… 51
IV.3. Teste et résultats……………………………………………..…….………… 53
IV.4. Circuit Réalise ………………………………...…………………………….. 57
IV.4.1. Circuit final en 3D….……………………………………………… 57
IV.4.2.Implantation des composants …………….….…….………………… 57
IV.4.3. Circuit imprime…………………………..………………………….. 58
IV.5. Conclusion…………………………………………………………………… 58

CONCLUSION GÉNÉRALE

Conclusion générale………...…………………………..………..…………............ 59

NOMENCLATURE

Nomenclature …………………..……………………….…………..…………....... 60

BIBLIOGRAPHIE

Bibliographie

ANNEXES

Annexe
‫ـــــــــــــــــــــــــــــــــــــــــــــــــــــــــ‬INDEX DES FIGURES
Figure(1.1) : a :aiment, b : bobines
Figure(1.2) : Induit
Figure(1.3) : Constitution de la MCC « Machine à Courant Continu »
Figure(1.4) : Principe de fonctionnement
Figure(1.5) : Bilan des puissances d’un moteur à courant continu
Figure(1.6) : Bilan des puissances d’un générateur à courant continu
Figure(1.7) : Caractéristiques mécaniques et électriques
Figure(1.8) : Point De Fonctionnement
Figure(1.9) : a. Variation de la vitesse en fonction de la tension d’induit
b. Variation de la vitesse en fonction du flux d’inducteur
Figure (2.1) : Boitier du PIC 16F877A
Figure (2.2) :Architecture interne du pic 16F877A
Figure (2.3) : Schéma du Timer 0
Figure (2.4) :Schéma descriptive du timer 1
Figure (2.5) : Schéma du Timer 2
Figure (2.6) : Les différents PORT de PIC16F877A
Figure (2.7) : Schéma fonctionnel analogique numérique
Figure (3.1) : Les Différents types des convertisseurs
Figure (3.2) : Schéma de principe du hacheur.
Figure (3.3) : Schéma de principe du hacheur série
Figure (3.4) : Schéma de principe du hacheur survolteur.
Figure (3.5) : Schéma de principe du hacheur à stockage inductif
Figure (3.6) : Schéma d’Interrupteur réversible en courant.
Figure (3.7) : Schéma Structure du hacheur série réversible en courant.
Figure (3.8) : Fonctionnement du hacheur réversible en courant.
Figure (3.9) : Schéma de principe du hacheur réversible en tension
Figure (3.10) : Tension de sortie
Figure (3.11) : Schéma de principe du hacheur réversible en tension et en courant
Figure (3.12) : schémas du principe du hacheur série
Figure (3.13) : Caractéristique et symbole
Figure.(3.14) : Thyristor symbole et Caractéristique statique
Figure(3.15) : transistor symbole et caractéristique
Figure (3.16) : GTO Symbole
Figure(3.17) : IGBT Symbole et caractéristique
Figure (3.18) : Transistor MOSFET à canal N
Figure (3.19) : Comparaisons entre les différents interrupteurs selon la fréquence la tension et le courant
Figure (3.20) : Réalisation du signal MLI
Figure (3.21) : Technique de modulation à deux fronts
Figure (3.22) :Technique de modulation à un seul front
Figure (4.1): schéma électrique du système de commande, puissance et d’affichage
Figure (4.2): Organigramme principale
Figure (4.3): Organigramme de la régulation
Figure (4.4) : principe de fonctionnement d’un Opto-coupleur
Figure (4.5) : Schéma fonctionnel du photo-coupleur 4N26
Figure (4.6) : Elément de protection de la grille du MOSFET
Figure (4.7): Dispositif de puissance
Figure (4.8) : Circuit d’aide à la commutation
Figure (4.9) : circuit en 3 dimensions
Figure (4.10) : implantation des composants
Figure (4.11) : Circuit imprimé
ABREVIATION
LISTE DES ABREVIATIONS
F : la force.

Fém E : force électromotrice.

Fcém E' : force contre électromotrice.

P : nombre de paires de pôles.

a : nombre de paires de voies d’enroulement.

N : nombre de spires.

Ri : résistance de l’induit.

RI : résistance d'inducteur.

n : vitesse de rotation « en tr/min »

Φ : flux maximum à travers les spires « en Webers Wb ».

Ω : vitesse de rotation « en rd/s ».

Pabs : puissance absorbée.

Pu : puissance utile.

Pem : puissance électromagnétique.

η : rendement.

C : couple.

Cu : couple utile.

α : rapport cyclique.

ƒ : fréquence « en Hz ».

T : période.

Tem : le couple électromagnétique « N.m »

MLI : Modulation Large Impulsion « PWM en anglais ».

MCC : Machine à Courant Continu.

Uref : tension de référence

Ucap : tension envoyé par le capteur


Introduction Générale

INTRODUCTION GENERALE

L’industrie, au sens le plus large du terme, ont plus en plus besoin de systèmes à
vitesse variable, doués de souplesse et de précision, bien sur, les solutions mécaniques
sont encore utilisées, mais les solutions électriques sont aujourd’hui plus appréciées,
leurs succès viennent des caractéristiques incomparables que leur confère l’électronique
sur le plan de la conversion de l’énergie.

La liaison d’un moteur à courant continu à une source du même type se fait à
l’intermédiaire d’un convertisseur statique « Hacheur » qui permet la variation de la
tension moyenne aux bornes de l’induit, jusqu’à ces dernières années ; la commande des
convertisseurs statiques industriels était réalisée à l’aide de circuits analogiques à faible
ou moyen degré d’intégration.

Les procédures de contrôle et de la régulation des différentes grandeurs du


convertisseur faisaient aussi appel à ce type de technologie. Mais le développement de
la microélectronique et de la micro-informatique met aujourd’hui à la disposition de
l’utilisateur des circuits à très haut degré d’intégration dont les possibilités matérielles
sont encore accusées par leur facilité de programmation. La réalisation d’un hacheur
piloté par microcontrôleur PIC permet d’envisager une commande de la vitesse d’une
machine à courant continu, on agissant seulement sur le temps d’ouverture et de
fermeture des interrupteurs électroniques.

La tension moyenne d’entrée de la machine à courant continu varie et par


conséquent la vitesse de cette dernière varie proportionnellement à cette tension.
Diverses procédures de régulation de la vitesse existent dans l’industrie.

OBJECTIF

L’objectif de ce projet sera de réaliser un projet de fin d’études, en vue d’évaluer


les connaissances théoriques et pratiques requises durant notre formation.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 1
Introduction Générale

Nous devions pour cela réaliser une interface d’une maquette pour commander
un moteur à courant continu à base d’un microcontrôleur 16f877A.

STRUCTURE DU MEMOIRE

L e présent document s’articulera autour de quatre chapitres :

 Dans le premier chapitre, nous exposerons une description générale sur les
machines à courant continu.
 Le deuxième chapitre abordera les généralités sur les microcontrôleurs
PIC16F877A.
 Le troisième chapitre sera consacré aux convertisseurs statiques et aux
interrupteurs électroniques.
 Le quatrième chapitre représentera l’étude pratique, les différents résultats et les
tests seront représentés dans la dernière partie de ce chapitre, suivi d’une
conclusion générale ainsi que quelques perspectives envisagées pour la poursuite
de ce travail.
SCHEMA GLOBAL DU PROJET
Voila comme l’indique la figure ci-dessous tous les blocs qui assurent le bon
fonctionnement de notre système et ces blocs bien détaillé dans les autres chapitres.

Schéma synoptique

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 2
CHAPITRE I
‫ـــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــ‬SOMMAIRE

I.1 INTRODUCTION
I.2. ORGANISATION DE LA MACHINE
I.2.1. POLES INDUCTEURS
I.2.2. STATOR OU INDUCTEUR
I.2.3. INDUIT « ROTOR »
I.2.4. COLLECTEUR
I.3. ORGANES MECANIQUES
I.4. PRINCIPE DE FONCTIONNEMENT
I.5. FORCE ELECTROMOTRICE
I.6. FONCTIONNEMENT
I.6.1. FONCTIONNEMENT EN MOTEUR
I.6.2. FONCTIONNEMENT EN GENERATRICE
I .6.3 BILAN DES PUISSANCES
I.7. CARACTERISTIQUES MECANIQUES ET ELECTRIQUES
I.8. LES DIFFERENTS TYPES DE MOTEURS
A .EN DERIVATION
B. EN SERIE
C. COMPOSE
C.1 COURTE DERIVATION
C.2 LONGUE DERIVATION
I.9. POINT DE FONCTIONNEMENT
I.10.VARIATION DE LA VITESSE DE LA MACHINE A COURANT CONTINU
I.11. PROCEDE DE COMMANDE D’UN MOTEUR A COURANT CONTINU
I.10.1. REGLAGE RHEOSTATIQUE
I.10.2. REGLAGE PAR LE FLUX
I.10.2. REGLAGE PAR TENSION
I.12. AVANTAGES ET INCONVENIENTS
I.13. CONCLUSION
Chapitre I Les Machines à Courant Continu

Chapitre I
Les Machines à Courant Continu

I.1. INTRODUCTION
L’évolution des technologies conduit à utiliser des machines nécessitant des vitesses
de rotation précises et variables pour l’entraînement d’engins de manutention par
exemple.

Une machine à courant continu est une machine électrique. Il s'agit d'un
convertisseur électromécanique permettant la conversion bidirectionnelle d'énergie
entre une installation électrique parcourue par un courant continu et un dispositif
mécanique. Elle est aussi appelée dynamo.

 En fonctionnement moteur, l'énergie électrique est transformée en énergie


mécanique.
 En fonctionnement générateur, l'énergie mécanique est transformée en énergie
électrique. La machine se comporte comme un frein.

I.2. ORGANISATION DE LA MACHINE


Dans l’organisation d’une machine à courant continu, on peut distinguer les
principaux éléments suivants :

I.2.1. Pôles inducteurs


Les pôles inducteurs ont pour rôle de créer le flux inducteur dans la machine
« entre la culasse et l’induit »

 Ce flux est généré soit par des enroulements, soit par des aimants.
 Ce flux est canalisé dans la machine par des matériaux ferromagnétiques
« parties hachurées ».
 Ce flux étant constant dans la partie portant les pôles inducteurs et dans les pôles
inducteurs eux-mêmes.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 3
Chapitre I Les Machines à Courant Continu

I.2.2. stator ou inducteur


Le stator, partie fixe, constitué par un aimant ou un électroaimant appelé
également inducteur qui crée un champ magnétique dirigé vers l'axe du rotor. Il est
formé d’aimant figure (1.1-a) pour les petits moteurs et des bobines figure (1.1-b)
parcourue par unn courant continu. Les aimants ou bobines permettent la création d’un
flux magnétique.

a b
Figure (1.1) : a :aiment, b : bobines

I.2.3. Induit « Rotor »


Le rotor, partie mobile, appelé également induit, constitué par un cylindre d'acier
doux à la périphérie du quel sont disposés des conducteurs reliés aux lames du
collecteur sur lesquelles frottent deux charbons, ou balais, qui assurent la liaison avec
les bornes du moteur.

Figure (1.2) : Induit

I.2.4. Collecteur
Il est formé d’un ensemble de lames de cuivre isolées latéralement les unes des
autres et disposées suivant un cylindre en bout de rotor. Deux balais portés par le stator
frottent sur les lames du collecteur.
collecteur

I.3. ORGANES MECANIQUES


Le stator autoporteur reçoit de chaque côté un flasque sur lequel le rotor sera
positionné grâce à des roulements à billes ou à rouleaux suivant le type de charge
« axiale ou radiale ». Les pôles inducteurs sont enn général vissés sur le stator « ils
peuvent aussi en faire partie intégrante ».

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 4
Chapitre I Les Machines à Courant Continu

Un ventilateur est placé en bout d’arbre, sur le rotor, pour le refroidissement de


la machine. Il peut être complété par une ventilation forcée motorisée pour le
refroidissement
idissement aux vitesses lentes. Le collecteur, l’ensemble porte-
porte-balais et les balais
se situent de l’autre côté de la machine.

Figure (1.33) : Constitution de la MCC « Machine à Courant Continu »

I.4. PRINCIPE DE FONCTIONNEMENT


Lorsque les conducteurs sont parcourus par un courant, ils sont soumis à des
forces F1 et F2 qui tendent à faire tourner le rotor. Le collecteur permet d'inverser le sens
du courant dans les conducteurs lorsque ceux-ci
ceux ci passent le plan vertical. Ainsi le sens du
couple des forces F1 et F2 et donc le sens de rotation du moteur est conservé.

Figure (1.4) : Principe de fonctionnement

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 5
Chapitre I Les Machines à Courant Continu

I.5. FORCE ELECTROMOTRICE


Nous savons qu’une bobine en mouvement dans un champ magnétique voit
apparaître à ses bornes une force électromotrice « f.é.m. » donnée par la loi de Faraday,
sur ce principe, la machine à courant continu est le siège d’une f.é.m. E :

P
E= NφΩ (1.1)
2πa
Avec ;
P : nombre de paires de pôles ;
a : nombre de paires de voies d’enroulement ;
N : nombre de conducteurs « ou de brin-deux par spire » ;
Φ : flux maximum à travers les spires « en Webers, Wb » ;
Ω : Vitesse de rotation « en rd/s ».
P
Pour K = N , L’équation (1.1) devient :
2πa
E = KφΩ (2.2)
Si la machine fonctionne à flux constants : K ′ = Kφ
E = K ′Ω (2.3)

I.6. FONCTIONNEMENT
Imaginons une machine électrique alimentée par une source de tension U
constante. Lorsque le moteur tourne à vide il n’a pas besoin de fournir de couple, Ia est
très faible et U ≈ E. La vitesse de rotation est proportionnelle à U.

I.6.1. fonctionnement en moteur


Lorsque l'on veut la faire travailler, en appliquant un couple résistant sur son axe,
cela la freine donc E diminue. Comme U reste constante, le produit Ri.Ii augmente donc Ii
augmente, donc le couple T augmente lui aussi et lutte contre la diminution de vitesse :
c'est un couple moteur. Plus on le freine, plus le courant augmente pour lutter contre la
diminution de vitesse. C'est pourquoi les moteurs à courant continu peuvent « griller »
lorsque le rotor est bloqué, si le courant de la source n'est pas limité à une valeur
correcte.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 6
Chapitre I Les Machines à Courant Continu

I.6.2. fonctionnement en génératrice


Si une source d'énergie mécanique essaie d'augmenter la vitesse de machine, « la
charge est entraînante : ascenseur par exemple », Ω augmente donc E augmente.
Comme U reste constante, le produit Ri.Ii devient négatif et augmente en valeur absolue,
donc Ii augmente, donc le couple T augmente lui aussi et lutte contre l'augmentation de
vitesse : c'est un couple frein. Le signe du courant ayant changé, le signe de la
puissance consommée change lui aussi. La machine consomme une puissance négative,
donc elle fournit de la puissance au circuit. Elle est devenue génératrice.

Ces deux modes de fonctionnement existent pour les deux sens de rotation de la
machine. Celle-ci pouvant passer sans discontinuité d'un sens de rotation ou de couple à
l'autre. On dit alors qu'elle fonctionne dans les quatre quadrants -du plan couple-
vitesse.

I .6.3 Bilan des puissances

pertes joule pertes fer pertes mécanique

Puissance électrique Puissance

Figure (1.5) : Bilan des puissances d’un moteur à courant continu

pertes mécanique pertes fer pertes joule

Puissance absorbée Puissance utile

(Mécanique) (Electrique)

Figure (1.6) : Bilan des puissances d’un générateur à courant continu

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 7
Chapitre I Les Machines à Courant Continu

a. Puissance utile « sur l’arbre en sortie moteur » :


Pu = Pem – « pertes mécaniques + pertes magnétiques » , en Watts
b. Puissance absorbée « en entrée » :
Pabs = U.I « U : tension d’alimentation en Volt, I : courant absorbé en ligne, Pabs en
Watts »
c. Pertes :
pertes par effet joules « R.I2 » , pertes magnétiques, et pertes mécaniques
d. Rendement :
Le rendement = Puissance fournie « utile » / Puissance totale absorbée. = Pu / Pabs
Pabs = U.I « + puissance absorbée par l'inducteur »
Pu = Cu. , d'où rendement = (Cu. )/UI

I.7. CARACTERISTIQUES MECANIQUES ET ELECTRIQUES


On constate, voir figure (1.7), que la fréquence de rotation n diminue lorsque le
couple C augmente « à tension U constante ».
Le graphe de droite montre que la fréquence de rotation est proportionnelle à la
tension, à couple constant.

Remarque : Pour inverser le sens de rotation, on inversera le courant dans l'induit. « on


pourrait aussi inverser les courant inducteur, mais cela n'est pas possible si le flux
inducteur est créé par des aimants permanents ».

Figure(1.7) : Caractéristiques mécaniques et électriques

I.8. LES DIFFERENTS TYPES DE MOTEURS


On peut réaliser l’excitation des moteurs de plusieurs façons :
a. En dérivation : C’est une excitation séparée, l’inducteur comporte beaucoup de
spires de faibles sections.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 8
Chapitre I Les Machines à Courant Continu

b. En série : L’inducteur est traversé par le courant d’induit, il comporte peut de


spires de grosses sections.

c. Composé : On trouve une partie des enroulements inducteurs en série et une


partie en parallèle. On distingue deux types de branchements:

c.1 Courte dérivation : L’enroulement parallèle est aux bornes du moteur.


c.2 Longue dérivation : L’enroulement parallèle est aux bornes de la source
d’alimentation.

On peut réaliser pour chaque type de branchement deux types d’excitations:


 Une excitation à flux additif, le flux de l’enroulement série s’ajoute avec celui
de l’enroulement parallèle.
 Une excitation à flux soustractif, le flux série se soustrait de celui de
l’enroulement parallèle.

Le type d’excitation a une influence sur le comportement du moteur

I.9. POINT DE FONCTIONNEMENT


Une charge oppose au moteur un couple résistant Tr. Pour que le moteur puisse
entraîner cette charge, le moteur doit fournir un couple utile Tu de telle sorte que :
Tu = Tr . C’est le point de fonctionnement de l’ensemble moteur + charge

Cu Cr
C(N.m) Moteur Charge

Point d’intersection
Point de fonctionnement

w (rad/sec)

Fig. (1.8) : Point De Fonctionnement

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 9
Chapitre I Les Machines à Courant Continu

I.10. VARIATION DE LA VITESSE DE LA MACHINE A COURANT CONTINU

U − Ra I a
ω= (1.4)
k 'Φ

La figure suivante représente : a. : -action sur la tension à flux constant- la figure


représente la courbe de variation de la vitesse en fonction de la tension pour une charge
constante. b. : -action sur le flux à tension constante- la figure représente la courbe de
variation de la vitesse en fonction du flux d’excitation pour une charge constante.

ωn
Vitesse en « rd/s"

Tension « V »

Un
Vitesse en « rd/s »

Flux en « Wb »

Fig.(1.9) : a. Variation de la vitesse en fonction de la tension d’induit


b. Variation de la vitesse en fonction du flux d’inducteur

I.11. PROCEDE DE COMMANDE D’UN MOTEUR A COURANT CONTINU


L’expression générale de la vitesse montre les trois paramètres Ra , Rreg et U

que l’on peut régler ce qui donne les trois procédés pour la variation s de vitesse :

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 10
Chapitre I Les Machines à Courant Continu

I.11.1. Réglage rhéostatique :


Il est possible de réduire la vitesse en augmentant la résistance de l’induit avec un
rhéostat branchée en série avec l’induit tout en fixant la tension et le flux à leurs valeurs
nominales, l’expression de la vitesse est :
U − ( Ra + Rreg ) I a
ω= (1.5)

Ce type de réglage est mauvais du point de vue rendement. Le rhéostat insère a


une consommation d’anergie d’autant plus importants que la chute de vitesse est élevée,
c’est ainsi que ce procède de réglage n’est utilise que pour le démarrage et le freinage.

I.11.2. Réglage par le flux


Au démarrage, un moteur doit absorber un courant important pour pouvoir
porter a la vitesse nominale toutes les masses et charges qui sont initialement au repos,
d’où la nécessite d’appliquer un flux maximum pour permettre au moteur de développer
une force électromotrice d’une valeur suffisante et d’une manière rapide.

Ce type de réglage est bon du point de vue technique, et également du point de


vue économique car la puissance dissipée dans l’inducteur étant très faible par rapport à
la puissance absorbée, le rendement du moteur ne sera pas modifier.

I.11.2. Réglage par tension


On applique une tension de valeur moyenne variable entre zéro et une valeur
maximale tout en réglant le flux a sa valeur maximale. Ce procédé de réglage de vitesse
est excellent du point de vue économique car aucune énergie n’est dissipe dans les
rhéostats. Le réglage par la tension permet seulement de diminuer la vitesse.

I.12. AVANTAGES ET INCONVENIENTS


L'avantage principal des machines à courant continu réside dans leur adaptation
simple aux moyens permettant de régler ou de faire varier leur vitesse, leur couple et
leur sens de rotation : les variateurs de vitesse. Voire leur raccordement direct à la
source d'énergie : batteries d'accumulateur, piles, etc.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 11
Chapitre I Les Machines à Courant Continu

Le principal problème de ces machines vient de la liaison entre les balais, ou


« charbons » et le collecteur rotatif. Ainsi que le collecteur lui même comme indiqué
plus haut et la complexité de sa réalisation. De plus il faut signaler que :

 Plus la vitesse de rotation est élevée, plus la pression des balais doit augmenter
pour rester en contact avec le collecteur donc plus le frottement est important.
 Aux vitesses élevées les balais doivent donc être remplacés très régulièrement.
 Le collecteur imposant des ruptures de contact provoque des arcs, qui usent
rapidement le commutateur et génèrent des parasites dans le circuit
d'alimentation, ainsi que par rayonnement électromagnétique.

Un autre problème limite les vitesses d'utilisation élevées de ces moteurs lorsque le
rotor est bobiné, c'est le phénomène de « défrettage », la force centrifuge finissant par
casser les liens assurant la tenue des ensembles de spires « le frettage ».

Un certain nombre de ces inconvénients ont partiellement été résolus par des
réalisations de moteurs sans fer au rotor, comme les moteurs « disques » ou les moteurs
« cloches », qui néanmoins possèdent toujours des balais.

Les inconvénients ci-dessus ont été radicalement éliminés grâce à la technologie du


moteur brushless, aussi dénommé « moteur à courant continu sans balais », ou moteur
sans balais.

I.13. CONCLUSION
La machine à courant continu a été durant de nombreuses années l'actionneur
principalement utilisé dans les applications à vitesse variable. En effet, comme cela a
été mis en évidence, le contrôle de la vitesse de rotation peut être aisément réalisé par
action sur la f.e.m. d’induit de la machine « en grandeur permanente, donc moyenne ».
Sur un autre plan, on peut agir sur le flux inducteur qui est réglé par le courant dans
l’inducteur « appelé aussi courant d’excitation ».

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 12
CHAPITRE II
‫ـــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــ‬SOMMAIRE

II.1. INTRODUCTION
II.2. LES CARACTERISTIQUES DU PIC 16F877A
II.3. DESCRIPTION GENERALE DU PIC 16F877A
II.3.1. ARCHITECTURE EXTERNE
ІІ.3.2. ARCHITECTURE INTERNE
ІІ.3.3. ORGANISATION DE LA MEMOIRE
ІІ.3.4. LES REGISTRES DU PIC 16F877A
ІІ.3.5. LES TIMERS
ІІ.3.6. LES INTERRUPTIONS
ІІ.3.7. LES PORTS D'ENTRE SORTIES
ІІ.3.8. CONFIGURATION DES PORTX, LES REGISTRES PORTX ET
TRISX
ІІ.3.9. LE CONVERTISSEUR ANALOGIQUE NUMERIQUE
ІІ.3.10. LES MODULES CCP1 ET CCP2
II.4. CONCLUSION
Chapitre ІІ Le PIC 16F877A

Chapitre II
Le PIC 16F877A

II.1. INTRODUCTION
L’année 1971 c’est la naissance du premier microprocesseur des laboratoires
Intel, cette compagnie américaine a réussi pour la première fois, à placer tous les
transistors qui constituent un processeur sur un seul circuit intégré donnant un
microprocesseur.

Le microprocesseur est un circuit logique complexe ce circuit peuvent exécuter


les instructions enregistrés dans une mémoire externe. Il ne peut pas travailler seul il y a
toujours des circuits associés à lui. On peut tenter d'évaluer les performances d'un
microprocesseur en comptant le nombre d'instructions qu'il est capable d'exécuter en
une seconde. Les unités pour ce type d'évaluation sont le MIPS « millions d'instructions
par seconde » pour les calculs entiers et le MFLOPS « millions d'opérations flottantes
par seconde » pour les calculs avec virgule.

Un microcontrôleur est un circuit intégré qui rassemble les éléments essentiels


d'un ordinateur : processeur, mémoires « mémoire morte pour le programme, mémoire
vive pour les données », unités périphériques et interfaces d'entrées-sorties. Les
microcontrôleurs se caractérisent par un plus haut degré d'intégration, une plus faible
consommation électrique « quelques milliwatts en fonctionnement, quelques nano watts
en veille », une vitesse de fonctionnement plus faible « quelques mégahertz » et un coût
réduit par rapport aux microprocesseurs polyvalents utilisés dans les ordinateurs
personnels.

Par rapport à des systèmes électroniques à base de microprocesseurs et autres


composants séparés, les microcontrôleurs permettent de diminuer la taille, la
consommation électrique et le coût des produits. Ils ont ainsi permis de démocratiser
l'utilisation de l'informatique dans le domaine d’industrie pour la commande et contrôle
des systèmes.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 13
Chapitre ІІ Le PIC 16F877A

Les microcontrôleurs sont fréquemment utilisés dans les systèmes embarqués,


comme les contrôleurs des moteurs automobiles, les télécommandes, les appareils de
bureau, l'électroménager, les jouets, la téléphonie mobile, etc.

Des nombreux fabricants se sont implantés sur le marché des microcontrôleurs, la


société américaine Micro-chip à mis au point un microcontrôleur CMOS,
« Complementry Metal Oxide Semi-conductor » appelé PIC ; cette dénomination est
sous copyright de Micro-chip, donc les autres fabricants ont été dans l’impossibilité
d’utiliser ce terme pour leur propre microcontrôleur. Actuellement ce microcontrôleur
est très utilisé.

II.2. LES CARACTERISTIQUES DU PIC 16F877A


Nous avons utilisé le microcontrôleur PIC 16F877A pour contrôler la vitesse du
moteur, ce µc est un circuit intégré à 40 broches dont les caractéristiques générales
peuvent être résumé comme suit
 Vitesse d'exécution allant jusqu'à 20MHz permettant l'exécution rapide du
programme, une instruction en cycle d'horloge de 200ns.
 Une mémoire vive « RAM » de 368 octets, répartie sur 4 banque 0, 1, 2, 3
« mémoire de données ».
 Une mémoire EEPROM pour sauver des paramètres de 256 octets « mémoire
de données ».
 Une mémoire morte « ROM » mémoire programme de type FLASH de 8ko
« 1mot=14bit ».
 30 Entrées/Sorties programmables multiplexés.
 8 entrées « canaux » du module de conversion analogique numérique 10 bits.
 2 Comparateurs analogiques programmables avec une référence de tension,
programmable également.
 Conservation des informations en mémoire vive jusqu'à 1.5v.
 Une plage d'alimentation de 2.0V à 5V.
 Module de capture PWM programmable permettant de capturer un signal
« logique » en fonction du temps, ou d'offrir un signal PWM : Module de
Largeur d'Impulsion, ce paramètre représente une grande importance dans notre
réalisation.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 14
Chapitre ІІ Le PIC 16F877A

 De nouvelles fonctionnalités, comme les gestions de ports «Série».

Le PIC 16F877A parmi les plus puissants microcontrôleurs de la gamme « Mid-


range » chez Micro-chip. Dans notre travail, on s’intéresse au convertisseur
analogique/numérique au Timer2 et aux modules CCP1 et CCP2 pour la génération du
signal PWM nécessaire à la commande du moteur à courant continu.

II.3. DESCRIPTION GENERALE DU PIC 16F877A

Figure (2.1) : Boitier du PIC 16F877A

II.3.1. Architecture externe


Le microcontrôleur 16F877A fait partie de la sous famille des 16F87X. Cette
branche fait partie intégrant de la famille des Pics Mid-Range, Le PIC 16F877A se
présente sous la forme d'un boitier PDIP 40 broches, Le numéro peut être suivi d'un
«A», et d'un «-XX» qui Donne la fréquence d'horloge maximum du composant. A l'heur
actuel, la version la plus courante est la version -20. Donc la fréquence maximale sera
de 20MHz.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 15
Chapitre ІІ Le PIC 16F877A

PIN UTILISATION
RA0 à RA5 Lignes d'entrées /sorties du port A
RB0 à RB7 Lignes d'entrées /sorties du port B
RC0 à RC7 Lignes d'entrées /sorties du port C
RD0 à RD7 Lignes d'entrées /sorties du port D
RE0 à RE3 Lignes d'entrées /sorties du port E
VDD Broche d'alimentation +5V
VSS Broche du 0V
MCLR Reset du circuit
OSC1 et OSC2 Broche recevant quartz externe.

Tab. (2.1): Broches du PIC

a. Alimentation « VDD ET VSS »


Ce sont les broches d'alimentation du circuit, les tensions qui peuvent être appliqués
sont :
 De 4V à 6V pour la gamme standard.
 De 2V à 6V pour la gamme étendue.
L'intensité du courant consommé peut aller de 1µA à 10mA.
La consommation sera en fonction de :
 La tension d'alimentation.
 La fréquence interne.
 Le mode de fonctionnement.

b. L'horloge
Deux pates 11 et 12 sont présentées sur le boitier du PIC 16F877A. La première
nommée OSC1/CLKIN et la deuxième OSC/CLKOUT. Ces deux broches peuvent
être utilisées de plusieurs manières; cela dépend de l'oscillateur ou de l'horloge
employés:
XT : version à oscillateur à quartz «standard» fonctionnant jusqu'à une fréquence
maximale de 4MHz
HS : High Speed, version à oscillateur à quartz capable de fonctionner jusqu'à une
fréquence maximale de 20MHz.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 16
Chapitre ІІ Le PIC 16F877A

RC : capable de fonctionner jusqu'à 4MHz mais avec une stabilité de fréquence plus
faible que les versions à quartz.
LP « Low Power » :, version à quartz également, mais prévue spécialement pour les
applications à très faible consommation. C'est donc une version dont la fréquence
maximale de fonctionnement est limitée à 20KHz.
c. MCLR
Cette broche sert à initialiser le PIC, ce dernier dispose de plusieurs sources de RESET.
POR « Power on reset » : mise sous tension. Un front descendant sur MCLR
déclenche l'initialisation du µc. Cette bronche peut être simplement reliée à VDD si on
n a pas besoin de RESET externe.
EXTERNAL RESET « mis à l'état de MCLR ».Remise à zéro extérieur. Il faut
appliquer un niveau bas sur l'entrée.
RESET au moins 2µs pour que l'initialisation soit prise en compte.
WDT : chien de garde. Si le WDT arrive à la fin du temps de garde sans être rafraîchi
il aura une initialisation du µc
BOR : baisse de l'alimentation. Si l'alimentation VDD chute en dessous de 4V pendant
100µs au moins, le microcontrôleur peut générer un RESET.

ІІ.3.2. Architecture interne

Cette architecture nous présente les principaux organes qui composent le µc, la
figure (2.2) illustre cette architecture :

 La mémoire FLASH de 8K mots à 14 bits.


 La mémoire de donnée EEPROM à 256 octets.
 La mémoire de donnée RAM à 368 octets.
 Les registres particuliers W « registre de travail »; FSR et STATUS « d'état ».
 L'unité arithmétique et logique « UAL ».
 Quatre ports bidirectionnels E/S A, B, C, D.
 Huit niveaux de pile.

Cependant, même si les op-codes sont codés sur 14 bits, les données et les résultats sont
seront toujours sur 8 Bits.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 17
Chapitre ІІ Le PIC 16F877A

Figure (2.2) : Architecture interne du pic 16F877A

ІІ.3.3. Organisation de la mémoire

La mémoire du PIC 16F877A est divisée en 3 parties :


1. La mémoire programme
La mémoire programme est constituée de 8k mots de 14 bits. C’est dans cette
zone que nous allons écrire notre programme. Le PIC exécute une à une les instructions
logées dans la mémoire de programme.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 18
Chapitre ІІ Le PIC 16F877A

2. La mémoire EEPROM
La mémoire EEPROM « Electrical Ecrasable Programmable Read Onlay
Memory », est constituée de 256 octets, ces octets sont conservés après une coupure de
courant et sont très utiles pour conserver des paramètres semi-permanents.

3. La mémoire RAM et organisation


La mémoire RAM est celle qui est sauvant utilisée. Toutes les données qui y
sont stockées sont perdues lors d’une coupure de courant. La mémoire RAM disponible
du 16F877 est de 368 octets. Elle est répartie de la manière suivante :

 80 octets en banque 0, adresses 0*20 à 0*6F


 80 octets en banque 1, adresses 0*A0 à 0*EF
 96 octets en banque 2, adresses 0*110 à 0*16F
 96 octets en banque 3, adresses 0*190 à 0*1EF
16 octets commun aux 4 banques, soit 0*70 à 0*7F = 0*F0 à 0*FF.

a. Plan mémoire pour les instructions « code programme »


Le plan mémoire est linéaire les adresses vont de 0000h à 1FFFh « 8k mots de
14 bits », Par page de 2k mots.

Les Pics n'ont qu'un seul vecteur d'interruption en 0004h. Lors d'une
interruption, le sous programme associé devra déterminer quel périphérique a demandé
en mémoire de donnée comme avec les microcontrôleurs classiques, mais dans la
mémoire programme. Elles sont utilisées lors d'appels de sous programmes, on ne peut
pas imbriquer plus de 8 sous programmes.

b. Plan mémoire pour les données et registres interne


« SFR : Spécial function register »
Le plan mémoire des données et des registres internes est découpé en 4 zones ou
Bank de 128 octets, pour accéder à une zone il faut positionner les bits RB0 « bit 5 » et
RP1 « bit 6 » du registre STATUS.
Les registres appelés General purpose Register ne sont ni plus ni moins que
des cases mémoires pour stocker les données.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 19
Chapitre ІІ Le PIC 16F877A

ІІ.3.4. Les registres du PIC 16F877A


La mémoire RAM est organisée en 4 banques pour le 16F8777A. La RAM est
subdivisée de plus en quatre parties. Dans chacune des banques on trouve des «cases
mémoires spéciales» appelées REGISTRES SPECIAUX et des cases mémoires
«libres» dont nous pouvons nous en servir à notre guise.

a. Le registre status d'adresse 0X03 ou registre d'états


Comme son nom l'indique ce registre contient un certain nombre de bits d'états de
l'unité centrale mais aussi des bits de sélection de la page qui s'appellent ici RP1 et RP0.
De ce fait, il peut être lu comme n'importe quel autre registre étant entendu que certains
bits d'états restant non modifiables.

b. Le registre FSR d'adresse 0X04


Le PIC 16F877A possède une unité arithmétique et logique « UAL » et un
registre de travail W. L'UAL effectue des fonctions arithmétiques booléennes entre les
données se trouvant dans le registre de travail et n'importe quel registre. Pour les
instructions à deux opérandes, typiquement une donnée est dans le registre de travail
« W » et l'autre opérande dans un autre registre ou se trouve une valeur immédiate
constante. Pour les instructions à un seul opérande, la donnée se trouve soit dans le
registre de travail « W » soit dans un autre registre.

Le registre de travail « W » possède 14 bits, utilisé pour les opérations avec


l'UAL, ce n'est pas un registre adressable. Elle « UAL » est composée :

▪ D'un accumulateur 8 bits W : WORKING « travail », c'est lui qui effectue toutes les
opérations arithmétiques et logiques.
▪ Un registre d'état 8 bits STATUS.

IRP RP1 RP0 TO PD Z DC C


Bit7 Bit0

▪ Bit 0 ou bit C Carry c'set le bit de retenue en addition ou soustraction sur un octet. Il
positionné a 1 si une retenue set générée depuis le bit de poids fort
▪ Bit 1 ou bit DC comme Digit Carry0 il est positionné à 1 si une retenue est générée
du bit 3 « Bit de poids fort du quartet inférieur » vers le bit 0 du quartet supérieur. Il set
utile pour corriger le résultat d'opérations effectuées en code BCD

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 20
Chapitre ІІ Le PIC 16F877A

▪ Bit 2 ou Z comme Zéro, ce bit est mis à 1 si le résultat de l'opération arithmétique ou


logique est nul.
▪ Bit 3 ou PD comme Power Dowen, ce bit à 1 lors de la mise sous tension du circuit
ou lors de l'exécution d'une instruction CLWDT relative au timer chien de garde il est
mis à 0 par l'instruction SLEEP.
▪ Bit 4 ou bit TO comme Time Out, ce bit est à 1 lors de la mise sous tension du
circuit ou lors de l'exécution d'une instruction CLWDT ou SLEEP ,il passe à 0 si le
timer chien de garde déborde.
▪ Bit 5 ou bit RP0 « Register Bank Select0 », ce bit sert à sélectionner l'une des deux
pages de la mémoire « Page 0 ou Page 1 » sachant que chaque page mesure 128 octet.
RP0 = 0 sélectionne la page mémoire 0 « adresse de 00 à 7F »
RP0 = 1 sélectionne la page mémoire 1 « adresse de 80 à FF »
▪ Bit 6 ou bit RP1 « Register Bank Select1 », permet la sélection des pages 2 et 3.
▪ Bit 7 ou bit IRP ce bit est prévu pour un future adressage paginé indirect.

ІІ.3.5. Les timers


Les Pics 16F877A disposent 3 timers :

a. Le timer 0 « 8 bits »: il peut être incrémenté par des impulsions extérieures via la
broche « TOCKI/RA4 » ou par l'horloge interne « Fosc/4 ».

Figure (2.3) : Schéma du Timer 0

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 21
Chapitre ІІ Le PIC 16F877A

b. Le timer 1 « 16 bits »: il peut être incrémenté soit par l'horloge interne, par des
impulsions sur les broches TICKI/RC0 ou par un oscillateur « RC ou quartz »
connecté sur les broches TOSO/RC0 et T1OS1/RC1.

Figure (2.4) :Schéma descriptive du timer 1

c. Le timer 2 « 8 bits »: il est incrémenté par l'horloge interne, celle peut être pré divisé.
Tous ces timers peuvent déclencher une interruption interne, s'ils ont été autorisés.

Figure (2.5) : Schéma du Timer 2

ІІ.3.6. Les interruptions


Le PIC 16F877A possède 15 sources d’interruptions. Les plus importantes à
connaitre, car ce sont les plus utilisées en pratique, sont :
 TMR0I : Dépassement du TIMER0 « débordement le passage de 255 à 0 »
 TMR1I : Dépassement du TIMER1 « débordement le passage de 65535 à 0 »

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 22
Chapitre ІІ Le PIC 16F877A

 TMR2I : Contenu du PR2 du TIMER2 « débordement le passage de la valeur qui


contient dans le registre PR2 à 0 »
 INT : Interruption extérieure RB0
 RBI : Changement d’état du port B « RB7 : RB4 »
 PSPI : Lecture / Ecriture sur le port parallèle
 ADI : Convertisseur Analogique / Numérique
 TXI : Emission sur le port RS232
 RCI : Réception sur le port RS232
 SSPI : Bus SPI / I²C
 CCP1I : Capture / Compare / PWM
 CCP2I : Capture / Compare / PWM
 EEI : Ecriture dans EEPROM
 CMI : Comparateur
 BCLI : Collision sur Bus

ІІ.3.7. Les ports d'entré sorties


Le microcontrôleur 16F877A dispose 5 PORT « A, B, C, D, E ». Tous ces ports
sont bidirectionnels.
La plupart des lignes de Ports ont une double fonction.
 Le PORT A « 5 bits » I/O pure et/ou convertisseur analogique et/ou TIMER0.
La broche RA4 du PORT A « Entrée du TIMER T0CKI » est du type DRAIN
OUVERT
 Le PORT B « 8 bits » I/O pure et/ou programmation ICSP/ICD « broche
RB3/PGM, RB6/PGC et RB7/PGD » et l'entrée d'interruption externe
RB0/INT.
 Le PORT C « 8 bits » I/O :C’est un port tout ce qu’il a de plus classique, or
qu’il a Deux pins qu'on utilisera plus tard dans la communication série avec le
PC à travers « TX et RX ».
 Le PORT D « 8 bits » I/O Le registre TRISD comportera donc les 8bits de
direction, pendant que le registre port D correspond aux pins I/O concernés.
D’où les 8 pins I/O, en mode entrée, sont du type « trigger de Schmitt ».
 Le PORT E « 3 bits » I/O Ce port n’est présent que sur les PIC 16F877. Il ne comporte
que 3 pins RE0 à RE2, mais, contrairement aux ports, les bits non concernés de TRISE

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 23
Chapitre ІІ Le PIC 16F877A

sont, cette fois, implantés pour d’autres fonctions. Les pins REX peuvent également être
utilisés comme pins d’entrées analogiques. D’ou le registre ADCON1 qui détermine si
ce port est utilisé comme port I/O ou comme port analogique.

Figure (2.6) : Les différents PORT de PIC16F877A

ІІ.3.8. Configuration des portx, les registres PORTX et TRISX


Tous les ports sont pilotés par deux registres :
 Le registre PORTx, si le PORTx ou certaines lignes de PORTx sont configurés
en sortie, ce registre détermine l'état logique des sorties.
 Le registre TRISx, c'est le registre de direction. Il détermine si le PORTx ou
certaines lignes de port sont en entrée ou en sortie. L'écriture d'un 1 logique
correspond à une entrée « 1 comme Input » et l'écriture d'un 0 logique
correspond à une sortie « 0 comme Output ».
Au RESET toutes les lignes de ports sont configures en sorties.

ІІ.3.9. Le convertisseur analogique numérique


Notre 16F877A travaille avec un convertisseur analogique/numérique qui permet un
échantillonnage sur 10 bits. Il est composé de :
 Un multiplexeur analogique 5 voies « PIC 16F877A ».
 Un échantillonneur bloqueur.
 Un convertisseur analogique numérique de 10 bits.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 24
Chapitre ІІ Le PIC 16F877A

Figure (2.7) : Schéma fonctionnel analogique numérique

a. Les registres ADRESL et ADRESH


Il est important de savoir que le convertisseur donne un résultat sur 10 bits, et
donc que ce résultat devra donc obligatoirement être sauvegardé dans 2 registres. Ces
registres sont tout simplement les registres ADRESL et ADRESH. Comme 2 registres
contiennent 16 bits, et que nous n’en utilisons que 10, Micro chip nous a laissé le choix
sur la façon dont est sauvegardé le résultat, nous pouvons soit justifier le résultat à
gauche, soit à droite.

La justification à droite complète la partie gauche du résultat par des « 0 ». Le


résultat sera donc de la forme :
ADRESH ADRESL

0 0 0 0 0 0 B9 B8 B7 B6 B5 B4 B3 B2 B1 B0

La justification à gauche procède bien évidemment de la méthode inverse :


ADRESH ADRESL
B9 B8 B7 B6 B5 B4 B3 B2 B1 B0 0 0 0 0 0 0

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 25
Chapitre ІІ Le PIC 16F877A

b. Le registre ADCON1
Ce registre permet de déterminer le rôle de chacune des pins AN0 à AN7. Il
permet donc de choisir si un pin sera utilisé comme entrée analogique, comme
entrée/sortie standard, ou comme tension de référence. Il permet également de décider
de la justification du résultat.
Pour pouvoir utiliser un pin en mode analogique, il faudra que ce pin soit configuré
également en entrée par TRISA. Le registre ADCON1 dispose, comme tout registre
accessible de notre PIC, de 8 bits, dont seulement 5 sont utilisés :

B7 ADFM A/D result format select


B6 INUTILISE lu comme « 0 »
B5 INUTILISE lu comme « 0 »
B4 INUTILISE lu comme « 0 »
B3 PCFG3 Port Configuration control bit 3
B2 PCFG2 Port Configuration control bit 2
B1 PCFG1 Port Configuration control bit 1
B0 PCFG0 Port Configuration control bit 0

Tab. (2.2): bits de registre ADCON1

c. Le registre ADCON0
Ce registre est le dernier utilisé par le convertisseur analogique/numérique. Il
contient les bits que nous allons manipuler lors de notre conversion. Sur les 8 bits de
notre registre, 7 seront utilisés.

B7 ADCS1 A/D conversion Clock Select bit 1


B6 ADCS0 A/D conversion Clock Select bit 0
B5 CHS2 Analog Channel Select bit2
B4 CHS1 Analog Channel Select bit1
B3 CHS0 Analog Channel Select bit0
B2 GO/DONE A/D conversion status bit
B1 Inutilisé lu comme « 0 »
B0 ADON A/D ON bit

Tab. (2-3): bits de registre ADCON0

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 26
Chapitre ІІ Le PIC 16F877A

ІІ.3.10. Les modules CCP1 et CCP2


Ces deux modules peuvent fonctionner dans l'un des trois modes ci-dessous :
 Mode capture « CAPTURE » : ce mode permet en autre d'effectuer des mesures
de temps.
 Mode comparaison « COMPARE » : ce mode permet en autre de générer des
évènements périodiques.
 Mode PWM signifie « Pulse Width Modulation », ce qu'on pourrait traduire
par modulation de largeur d'impulsion, il s'agit d'un signal binaire de fréquence
fixe dont le rapport cyclique peut être modulé par logiciel. Le rapport cyclique
d'un signal binaire à fréquence fixe peut être défini comme étant le rapport entre
le temps ou il se trouve à l'état «1» par rapport au temps total d'un cycle.

Ces modules sont associés aux broches RC2/CCP1 et RC1/T1OSI/CCP2 suivant


le mode choisi, les timer 1 ou 2 vont être utilisés. Les modes capture et comparaison
utilisent le timer 1, tandis que le mode PWM utilise le timer 2.

II.4. CONCLUSION

En conclusion, nous pouvons dire que le microcontrôleur 16F877A peut bien jouer
le rôle d'une unité de commande pour notre système, il contient tous les modules
nécessaires pour générer le signal PWM utilisé dans la commande da la carte de
puissance qui alimente notre moteur à courant continu.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 27
CHAPITR III
‫ـــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــ‬SOMMAIRE

III.1. INTRODUCTION
III.2. LES DIFFERENTS TYPES DES CONVERTISSEURS ELECTRONIQUES
III.3. INTRODUCTION -INTERET DES HACHEURS-
III.3.1. ETUDE DE QUELQUES STRUCTURES DE HACHEURS NON
REVERSIBLES
A. HACHEUR DEVOLTEUR « OU SERIE »
B. HACHEUR SURVOLTEUR « OU PARALLELE »
C. HACHEUR A STOCKAGE INDUCTIF
III.3.2. HACHEURS REVERSIBLES
A. HACHEUR SERIE REVERSIBLE EN COURANT
B. HACHEUR REVERSIBLE EN TENSION
C. HACHEUR REVERSIBLE EN TENSION ET EN COURANT
III.4. PRINCIPE DU HACHEUR SERIE « DEVOLTEUR »
III.5. COMPOSANTS DE PUISSANCE ET TECHNIQUE MLI UTILISEE POUR
COMMANDE DE LA MACHINE A COURANT CONTINU
III.5.1. LES DIFFERENTS SEMI-CONDUCTEUR
A. LA DIODE
B. LE THYRISTOR
C. LES TRANSISTORS
D. THYRISTOR GTO « GATE TURN OFF »
E. TRANSISTOR BIPOLAIRE A GRILLE ISOLEE IGBT
F. TRANSISTOR MOSFET
III.5.2. LE CHOIX DES COMPOSANTS
III.5.3. TECHNIQUE DE COMMANDE
III.5.4. PRINCIPE DE LA « MLI »
III.5.5. STRATEGIES D’OBTENTION D’UNE ONDE « MLI »
A. MODULATION D’ECHANTILLONNAGE NATUREL
B. LA MODULATION A DEUX FRONTS
C. LA MODULATION A UN SEUL FRONT
III.6. CONCLUSION
Chapitre III Les Convertisseurs Statiques Et Les Interrupteurs Electroniques

Chapitre III
Les Convertisseurs Statiques Et les Interrupteurs Electroniques

III.1. INTRODUCTION
Pour des raisons économiques, l'énergie électrique est fournie par des réseaux
triphasés « trois tensions sinusoïdales déphasées entre elles de 120° » à une fréquence
de 50Hz. Du point de vue de l'utilisation, l'énergie est parfois utilisée en continu ou à
des fréquences différentes de celle du réseau. Jusqu'au début des années 1970 environ,
la mise en forme de l'onde électrique, afin de l'adapter aux besoins a été obtenue au
moyen de groupes tournants « moteurs-générateurs ». Les performances des composants
semi-conducteurs de l'électronique de puissance « diodes, thyristors, triacs, transistors »
ont ensuite permis de réaliser de telles conversions; on supprime ainsi les parties
tournantes et on réduit la masse, l'encombrement et le coût de ces installations.

Les convertisseurs statiques sont les dispositifs à composants électroniques


capables de modifier l’amplitude et/ou la fréquence de l'onde électrique, « courant,
tension ». On distingue deux types de sources de tension :
 Sources de tension continues caractérisées par la valeur V de la tension.
 Sources de tension alternatives définies par les valeurs de la tension efficace V et
de la fréquence f.

III.2. LES DIFFERENTS TYPES DES CONVERTISSEURS ELECTRONIQUES

On différencie quatre types de convertisseurs dont les schémas de principe sont


donnés par la figure (3.1) :
1. Convertisseur alternatif continu:: Redresseur;
2. Convertisseur Continu-Continu : Hacheur;
3. Convertisseur Continu-Alternatif : Onduleur;
4. Convertisseur Alternatif-Alternatif ; on a deux cas :
a. Gradateur.
b. Cycloconvertisseur.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 28
Chapitre III Les Convertisseurs Statiques Et Les Interrupteurs Electroniques

Tension Continu Redresseur Tension Alternative

Cycloconvertisseur
Hacheur

Gradateur
Tension Continu Onduleur Tension Alternative

Figure (3.1) : Les Différents types des convertisseurs

Citons quelques applications des convertisseurs statiques:


1. Redresseurs: alimentation des moteurs à courant continu, charge des batteries;
2. Hacheurs: commande des moteurs à courant continu « vitesse variable ».
3. Onduleurs: production de tensions alternatives, alimentation des appareils
électriques autonomes, protection contre les surtensions et coupures de réseau
« informatique », commande des machines à courant alternatif.
4. Cycloconvertisseurs: production des vitesses variables en alternatif « levage,
machine-outil ».
5. Gradateur: Variation de la vitesse est moteurs asynchrone, variation de
éclairage, compensateur d’énergie réactive dans les réseaux électriques.

III.3. INTRODUCTION -INTERET DES HACHEURS-


Les hacheurs sont les convertisseurs statiques continu-continu permettant de
fabriquer une source de tension continue variable à partir d’une source de tension
continue fixe. La figure (3.2) rappelle le schéma de principe du hacheur.

Figure (3.2) : Schéma de principe du hacheur.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 29
Chapitre III Les Convertisseurs Statiques Et Les Interrupteurs Electroniques

III.3.1. Etude de quelques structures de hacheurs non réversibles


Nous allons nous intéresser, dans un premier temps aux structures les plus
simples des Hacheurs. Il s'agit de celles qui n'assurent pas la réversibilité, ni en tension,
ni en courant. L'énergie ne peut donc aller que de la source vers la charge.

a. Hacheur dévolteur « ou série »


Ce nom est lié au fait que la tension moyenne de sortie est inférieure à celle de
l'entrée. Il comporte un interrupteur à amorçage et à blocage commandés « transistor
bipolaire, transistor MOS ou IGBT… » et un interrupteur à blocage et amorçage
spontanés « diode ».

Figure (3.3) : Schéma de principe du hacheur série.

La charge est constituée par la résistance R. Les éléments L et C forment un


filtre dont le but est de limiter l'ondulation résultant du découpage sur la tension et le
courant de sortie. Si ces éléments sont correctement calculés, on peut supposer que is et
vs sont continus « on néglige l'ondulation résiduelle ».

Fonctionnement
Le cycle de fonctionnement, de période de hachage T « T=1/f », comporte deux
étapes.Lors de la première, on rend le transistor passant et la diode, polarisée en inverse,
est bloquée. Cette phase dure de 0 à α.T, avec α compris entre 0 et 1, α est appelé
rapport cyclique. Lors de la seconde, on bloque le transistor. La diode devient passante.
Cette phase dure de αT à T.

b. Hacheur survolteur « ou parallèle »


Dans ce hacheur, la tension moyenne de sortie est supérieure à la tension
d'entrée, d'où son nom. Cette structure demande un interrupteur commandé à l'amorçage
et au blocage « bipolaire, MOS, IGBT… » et une diode « amorçage et blocage
spontanés ».

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 30
Chapitre III Les Convertisseurs Statiques Et Les Interrupteurs Electroniques

Figure (3.4) : Schéma de principe du hacheur survolteur.

L'inductance permet de lisser le courant appelé sur la source. La capacité C


permet de limiter l'ondulation de tension en sortie.

Fonctionnement
Lors de la première partie du cycle de fonctionnement, de 0 à αT, l'interrupteur
commandé est fermé « passant ». Cette fois, la source et la charge ne sont pas en contact
durant cette phase, la diode est alors bloquée. Lors de la seconde partie du cycle, de αT
à T, on ouvre l'interrupteur commandé et la diode devient passante. C'est alors que la
source et la charge sont reliées.

C. Hacheur à stockage inductif

Figure (3.5) : Schéma de principe du hacheur à stockage inductif

Fonctionnement.
Lors de la première partie du cycle de fonctionnement, de 0 à αT, l'interrupteur
commandé est fermé « passant ». La diode est ouverte et l'inductance stocke l'énergie
fournie par le générateur d'entrée.

Lors de la seconde partie du cycle, de αT à T, on ouvre l'interrupteur commandé


et la diode devient passante. L'inductance restitue son énergie à la charge.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 31
Chapitre III Les Convertisseurs Statiques Et Les Interrupteurs Electroniques

Remarque : On note que le sens de la tension de sortie est inversé par rapport aux deux
cas précédents.

III.3.2. Hacheurs réversibles

Les structures que nous venons de voir ne sont réversibles, ni en tension, ni en


courant. L’énergie va donc toujours de la source vers la charge. Il est possible de
modifier ces dispositifs pour inverser le sens de parcours de l'énergie. Ainsi, une source
peut devenir une charge et inversement. Ce type de comportement se rencontre
usuellement dans les systèmes électriques. Ainsi, un moteur en sortie d'un hacheur
représente une charge.

Cependant, si on veut réaliser un freinage, le moteur va devenir génératrice, ce


qui va entraîner un renvoi d'énergie à la source « plus astucieux qu'un simple freinage
mécanique ».

a. Hacheur série réversible en courant


Dans ce système, le changement du sens de parcours de l'énergie est lié au
changement de signe du courant alors que la tension reste de signe constant.

▪ Interrupteur réversible en courant


Cette fois, l'interrupteur est formé de deux composants. Le premier est un
composant commandé à l'amorçage et au blocage « transistor, IGBT, GTO… », alors
que le second est une diode, Ils sont montés en anti-parallèle.

Figure (3.6) : Schéma d’Interrupteur réversible en courant.

Cette fois, iK peut être positif ou négatif. Il n'y aura plus de phénomène de
conduction discontinue, dû à l'impossibilité, pour le courant, de changer de signe.
Simplement, suivant le sens du courant, l'un ou l'autre des composants assurera la
conduction.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 32
Chapitre III Les Convertisseurs Statiques Et Les Interrupteurs Electroniques

▪ Structure du hacheur série réversible en courant

Figure (3.7) : Schéma Structure du hacheur série réversible en courant.

C’est la structure du hacheur série classique par des interrupteurs réversibles en


courant avec modification de la charge « inutile de demander à une résistance de se
transformer en génératrice… », en prenant une machine à courant continu, qui peut,
sous tension constante, fonctionner en génératrice ou en moteur.

Remarque : la MCC ayant, en simplifiant, un comportement de filtre passe bas, elle


sera insensible à l'ondulation de tension à ses bornes et ne réagira qu'à la valeur
moyenne imposée par le hacheur.

▪ Fonctionnement du hacheur réversible en courant.

Figure (3.8) : Fonctionnement du hacheur réversible en courant.

Tant que le courant IL est positif, T1 et D2 assurent le fonctionnement du


hacheur en conduisant à tour de rôle comme nous l'avons expliqué précédemment. Si IL
vient à s'annuler puis changer de signe, alors, dès que l'on détecte le passage par 0, on
lance la commande de T2. C'est alors T2 et D1 qui assurent à tour de rôle la conduction.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 33
Chapitre III Les Convertisseurs Statiques Et Les Interrupteurs Electroniques

b. Hacheur réversible en tension


La tension appliquée à la charge peut prendre les valeurs +E ou –E, ce qui
permet, suivant la valeur du rapport cyclique, de donner une valeur moyenne de tension
de sortie positive ou négative. En revanche, le courant doit rester de signe constant dans
la charge, car les interrupteurs ne sont pas réversibles.

Figure (3.9) : Schéma de principe du hacheur réversible en tension

La charge est formée par une machine à courant continu en série avec une
inductance, destinée à limiter l'ondulation de courant dans la machine. La machine
fonctionne sous un courant toujours de même signe.

Fonctionnement.
Lors de la première phase de fonctionnement, dans l'intervalle de temps [0,αT]
les deux interrupteurs commandés T1 et T2 sont fermés et les diodes D1 et D2 ouvertes.
La charge est sous tension +E.

Lors de la seconde phase de fonctionnement, sur l'intervalle de temps [αT,T], les


interrupteurs commandés sont ouverts et les diodes sont passantes. La charge est sous
tension -E.

Tension de sortie
La forme de la tension de sortie est donc la suivante

Figure (3.10) : Tension de sortie

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 34
Chapitre III Les Convertisseurs Statiques Et Les Interrupteurs Electroniques

La tension moyenne de sortie Vs est alors donnée par:

Vs = α.E + (1− α ).(−E) = (2. α−1).E (3.1)

Si α est supérieur à 0.5, alors la tension moyenne de sortie est positive. En


revanche, dans le cas où le rapport cyclique est inférieur à 0.5 la tension moyenne de
sortie est négative.

C. Hacheur réversible en tension et en courant

On reprend la structure du hacheur réversible en tension que nous venons de


donner en remplaçant les interrupteurs par des interrupteurs réversibles en courant.
Dans ce cas, le courant dans la charge peut changer de signe. Comme pour le hacheur
simplement réversible en courant, ce sera la diode ou le transistor qui sera passant,
suivant le signe du courant dans l'interrupteur. On obtient donc la structure suivante:

Figure (3.11) : Schéma de principe du hacheur réversible en tension et en courant

Cette fois, la tension moyenne de sortie et le courant moyen de sortie peuvent


être positifs ou négatifs. Source et charge peuvent avoir leurs rôles inversés suivant le
signe de ces grandeurs.

III.4. PRINCIPE DU HACHEUR SERIE « DEVOLTEUR »


Montage de principe : débit sur une charge résistive « en réalité l’interrupteur
est remplacé par un transistor »

Figure (3.12) : schémas du principe du hacheur série

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 35
Chapitre III Les Convertisseurs Statiques Et Les Interrupteurs Electroniques

Tel que ; U : est la source d’alimentation fixe, R : est la charge.


D’après la Loi des mailles : U - UK - v = 0 ⇒ V = U – UK

On choisit une période T et une fraction α de cette période. α s’appelle le rapport


cyclique, 0 < α < 1, sans dimension.

 de 0 à αT : K est fermé ⇒ uK = 0
v=U
i = v/ R = U/ R

 de αT à T : K est ouvert ⇒ i = 0
v = Ri = 0
uK = U

Oscillogrammes (1)

Commentaires
 La tension de sortie du hacheur « tension v » n’est pas
continue mais toujours positive. Lorsque la période est
assez faible « fréquence de 100 à 1000 Hz » la charge ne
« voit » pas les créneaux mais la valeur moyenne de la
tension.
 le rapport cyclique α peut être réglé. Par conséquent la
valeur moyenne    .  de v va varier.
 il s’agit d’un hacheur série car l’interrupteur K est monté
en série entre la source et la charge.

III.5. COMPOSANTS DE PUISSANCE ET TECHNIQUE MLI UTILISEE POUR


COMMANDE DE LA MACHINE A COURANT CONTINU

La commande des interrupteurs de puissance est basée sur la technique de


Modulation de Largeur d’Impulsion « MLI ». En effet, le développement considérable
de cette technique à ouvert une large étendue d’application dans les systèmes de

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 36
Chapitre III Les Convertisseurs Statiques Et Les Interrupteurs Electroniques

commande et beaucoup d’autre fonction que seule cette technique permet une
réalisation souple et rentable. En parallèle, le développement des composants de
puissance a permis de résoudre d’énorme problème concernant l’encombrement et le
coût et notamment l’élimination des circuits d’extinction que l’on rencontre souvent
dans les convertisseurs à thyristor conventionnels.

Cette partie traitera en premier lieu une description des différents composants
semi-conducteur et leur technologie, dans un deuxième lieu et d’une façon très brève les
différentes techniques pour l’obtention du signal MLI.

III.5.1. Les différents semi-conducteur


a. La Diode
Il s'agit d'un composant à amorçage et blocage spontanés. Ce sont des éléments
extérieurs « source et charge » qui vont déterminer son état.

Caractéristique Statique: Les caractéristiques Figure (3.14) ressemblent à celles d'une


diode classique, sauf en ce qui concerne le courant direct maximum et la tension inverse
de claquage.

I
V

Figure (3.13) : Caractéristique et symbole

b. Le thyristor
Comme la diode, le thyristor laisse passer le courant électrique dans un seul
sens, de l’anode à la cathode. Mais le thyristor ne conduira que si un courant minimum
et positif est fourni à la gâchette. C’est une diode commandée et plus spécifiquement un
redresseur commandé d’où son nom anglais « Silicon controlled rectifier » « SCR »,

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 37
Chapitre III Les Convertisseurs Statiques Et Les Interrupteurs Electroniques

mais à blocage naturel « quand le courant s'annule à ses bornes). Le schéma et la


caractéristique statique de ce composant sont illustrés par figure (3.14).

G
I
A
K VAK

VAK
Figure.(3.14) : Thyristor symbole et Caractéristique statique

c. Les transistors
Il s'agit d'interrupteurs commandés à l'amorçage et au blocage. On les trouve
notamment dans les hacheurs.

 Le transistor bipolaire : La mise en conduction et le blocage sont commandés par


l'intermédiaire du courant de base On utilise essentiellement des transistors NPN.

IC
Ib
C

B
VCE

VCE
Zone de Saturation
E
Figure(3.15) : transistor symbole et caractéristique

Lorsqu'on l'utilise en commutation et qu’il est passant, le transistor fonctionne


dans la zone de saturation. Lorsque Ib est nul, le courant d'émetteur reste nul.

d. Thyristor GTO « Gate Turn Off »


Le symbole électrique pour un GTO est donné par la figure suivante :

G
A I
K

VAK
Figure (3.16) : GTO Symbole

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 38
Chapitre III Les Convertisseurs Statiques Et Les Interrupteurs Electroniques

Comme le thyristor, le GTO peut être commandé de l'état off à l'état on par une
impulsion de courant brève appliquée sur la gâchette. Le GTO peut en plus être
commandé de l'état on à l'état off par application d'une tension Gâchette-Cathode
négative. Les GTOs sont utilisés dans les applications de très forte puissance à des
fréquences allant de quelques centaines de 1Hz à 10kHz.

e.. Transistor Bipolaire à Grille Isolée IGBT : Le symbole électrique pour un IGBT et
sa caractéristique statique I=f(V) est décrite sur la figure (3.17)

IAK
VG
A
G

K
VAK

Figure(3.17) : IGBT Symbole et caractéristique

Les IGBTs représentent un compromis entre les différents avantages des


MOSFETs, des BJTs et des GTOs. Similaire au MOSFET, l'IGBT possède une
impédance de grille importante, autorisant une commutation avec un faible apport
d'énergie.

f. Transistor MOSFET
Cette fois, c'est la tension entre grille et source VGS qui va permettre de
commander le transistor. Pour fonctionner en interrupteur, on fait travailler le transistor
dans la zone ohmique, voir la figure (3.18).

ID VGS
D

G
S VDS
Zone utilisée en
Figure (3.18) : Transistor MOSFET à canal N interrupteur

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 39
Chapitre III Les Convertisseurs Statiques Et Les Interrupteurs Electroniques

Les MOSFETS nécessitent le maintien continu d'une tension Grille-Source appropriée


pour demeurer dans l'état on.

III.5.2. Le choix des composants

On doit rester très prudent lorsque l’on désire comparer les différents
interrupteurs présentés dans les précédents paragraphes car de nombreuses propriétés
restent en compte et les caractéristiques de ces composants évoluent encore de façon
rapide et importante.

Le choix d’un des composants présentés pourrait se résumer comme suit :


 Application basse tension basse fréquence MOSFET.
 application moyenne tension haute fréquence IGBT.
 Application moyenne tension fréquence secteur thyristor.
 Application en haute tension basse fréquence GTO à forte puissance.

Figure (3.19) : Comparaisons entre les différents interrupteurs selon la fréquence


la tension et le courant

III.5.3. Technique de commande

La qualité de la tension de sortie d’un convertisseur dépend largement de la


technique de commande utilisée pour commander les interrupteurs du circuit de
puissance. Il existe plusieurs techniques de commande et le choix d’une technique

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 40
Chapitre III Les Convertisseurs Statiques Et Les Interrupteurs Electroniques

parmi toutes les possibilités dépend essentiellement du type d’application auquel


l’appareil est désigné,

Les techniques les plus rencontrées sont :


 Commande par des signaux carrés.
 Commande par onde en marche d’escalier.
 Commande par découpage.
 Commande par modulation de la largeur d’impulsion « MLI ».

Le développement considérable de la technique de modulation en largeur


d’impulsion à ouvert une large étendue d’application dans les systèmes de commande et
beaucoup d’autres fonctions, elle permet une réalisation souple et rentable.

III.5.4. Principe de la « MLI »

Le Principe de base de la Modulation de la Largeur d’Impulsion « MLI » est


fondé sur la découpage d’une pleine onde rectangulaire, ainsi la tension de sortie est
formée par une succession de créneaux d’amplitude égale à la tension continue
d’alimentation et de largeur variable,

La technique la plus répondue pour la production d’un signal MLI est de


comparer un signal triangulaire appelé porteuse de haute fréquence à un signal de
référence appelé modulatrice.

La réalisation électronique de la fonction MLI est donnée par la figure (3.20)

+ Vcc
Référence Vcc
t _

+ t
Porteuse
t
0v
Comparateur
Figure (3.20) : Réalisation du signal MLI

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 41
Chapitre III Les Convertisseurs Statiques Et Les Interrupteurs Electroniques

Pour caractériser les propriétés de la modulation


 Le taux de modulation « r » : C’est le rapport de l’amplitude de la référence à la
valeur crête de l’onde de modulation
Vr
r= avec : 0 < r < 1 (3.2)
Vm

III.5.5. Stratégies d’obtention d’une onde « MLI »

On distingue deux types de modulation.

 Modulation d’échantillonnage naturel


 Modulation d’échantillonnage régulier « uniforme »

a. Modulation d’échantillonnage naturel


La modulation naturelle consiste à appliquer directement le principe général de
la commande « MLI » à savoir la comparaison de deux signaux dont la modulatrice est
un signal continu et la porteuse est un signal triangulaire. Ainsi l’intersection des deux
signaux détermine les temps de commutation, on constate deux types de modulation
naturels.

b. La modulation à deux fronts


Elle consiste à comparer un signal de référence sinusoïdale ou continu avec un
signal triangulaire comme le montre la figure (3.21) :

Ve

t
0

Vs

t
0

Figure (3.21) : Technique de modulation à deux fronts

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 42
Chapitre III Les Convertisseurs Statiques Et Les Interrupteurs Electroniques

c. La modulation à un seul front


Dans ce cas le signal porteuse est un signal de la forme « dent de scie » qu’on
compare toujours avec une référence continu, voir la figure suivante.

Ve

t
0
Vs

Figure(3.22) :Technique de modulation à un seul front

III.6. CONCLUSION
Dans ce chapitre on a exposé une étude sur les différents convertisseurs
statiques, les techniques de commande et la technologie des interrupteurs. Les
machines à courant continu en fonctionnement moteur s’adaptent bien à l’association
avec des convertisseurs « continu-continu », qui permettent, une variation de vitesse du
fait de leur souplesse de fonctionnement, principalement à cause du système balais
collecteur qui limite la puissance et la vitesse de la machine.²²²

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 43
CHAPITRE IV
‫ـــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــ‬SOMMAIRE

IV.1.INTRODUCTION
IV .2. LES DIFFERENTS BLOCS DE LA REALISATION
IV .2.1. BOC DE COMMANDE ET DE REGULATION
IV.2.2. BLOC D'INTERFACE (ISOLATION)
A. PRINCIPE D''ISOLATION
B. ISOLATION PAR PHOTO-COUPLEUR
IV.2.3. BLOC DE PUISSANCE (HACHEUR SERIE)
A. PROTECTION DE LA GRILLE DU MOSFET
B. CIRCUIT DE PUISSANCE
C. CIRCUIT D'AIDE A LA COMMUTATION (CALC)
IV.3. TESTE ET RESULTATS
IV.4. CIRCUIT REALISE
IV.4.1. CIRCUIT FINAL EN 3D
IV.4.2. IMPLANTATION DES COMPOSANTS
IV.4.3. CIRCUIT IMPRIME
VI.5. CONCLUSION
Chapitre IV Etude et Réalisation Pratique

Chapitre IV
Etude et Réalisation pratique

IV.1. INTRODUCTION

Ce chapitre est consacré à l’étude et la réalisation pratique d’un hacheur de


puissance « hacheur série » et d’une carte de commande « maquette feedback » à
base du microcontrôleur en vue de régulation de la vitesse d’un moteur à courant
continu et permet d'afficher la vitesse de rotation de ce dernier.
Les tâches de la carte de commande sont :
 Conversion analogique numérique des données
 La comparaison entre les deux grandeurs
 Génération des MLI « PWM »
 L’affichage
Le schéma électrique du système est illustré sur la figure (4.1)

Figure (4.1): schéma électrique du système

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 44
Chapitre IV Etude et Réalisation Pratique

Début

Initialisation

Capture de
Ucap et Uref

Conversion
A/N

Régulation

Génération
MLI

Préparation
d'affichage

Affichage de
Vitesse

Fin

Figure (4.2): Organigramme principale

IV.2. LES DIFFERENTS BLOCS DE LA REALISATION

IV.2.1. Bloc de commande et de régulation

Ce bloc est le cœur de notre réalisation sa constitution est basé sur le pic son
principe de fonctionnement est la comparaison entre la valeur de tension envoyé par
le capteur par rapport à la tension de référence. On parle également de système
commandé par rétroaction négative, ou de système en boucle fermée.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 45
Chapitre IV Etude et Réalisation Pratique

Début

Initialisation

Capture de
Ucap et Uref

Conversion
A/N

Comparaison
Ucap et Uref

Ucap=Uref Ucap<Uref Ucap>Uref

Inc. (α) Dec. (α)

Génération
MLI

Fin

Figure (4.3): Organigramme de la régulation

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 46
Chapitre IV Etude et Réalisation Pratique

IV.2.2. Bloc d'interface « isolation »

De nombreux problèmes sont rencontrés lors du fonctionnement en liaison


directe entre le circuit de commande et le circuit de puissance, tel que les retours de
masse, les bruits électriques et le risque de destruction du circuit de commande par
retour de courant de la charge.

Afin d’éviter tout problème de ce genre, le circuit de commande doit être


électriquement isolé du circuit de puissance, cette isolation est réalisée par un opto-
coupleur.

a. Principe d'isolation

Pour isoler électriquement le circuit de commande de celui de puissance, on a


recours à l’utilisation de deux sources d’alimentation ainsi que deux masses
différentes, donc il n y a aucune connexion électrique entre les circuits cités.

Un avantage évident de l’utilisation de deux masses différentes est l’isolation


contre le bruit électrique causé par le retour de masse, en effet une différence de
potentiel entre deux points de masse cause de norme des problèmes dans le milieu
industriel, le courant de boucle qui se crée dérange le signal.

b. Isolation par photo-coupleur

Un opto-coupleur est considéré comme un élément de transfert de signal dont


l’entrée et la sortie sont électriquement isolées l’une de l’autre par un couplage
optique la figure (4.4) illustre le principe de fonctionnement d’un opto-coupleur

Photoémetteur Signal
lumineux

Ve Vs

Figure (4.4) : principe de fonctionnement d’un Opto-coupleur

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 47
Chapitre IV Etude et Réalisation Pratique

En général, l’entrée est composée d’une diode électroluminescente « LED »


opérante dans l’infrarouge, la sortie est un photo-détecteur c’est-à-dire une photo
diode, phototransistor ou éventuellement un photo-thyristor.

La LED et le photo-détecteur sont reliés optiquement, mais sont isolés


électriquement dans un même boîtier.

Un signal électrique reçu d’un appareil de commande est transformé en signal


lumineux et devient alors émetteur optique, il se propage dans l’air, le plastique ou le
verre Pré-amplification, ce signal électrique sera transmis au circuit de puissance

Le circuit utilisé est le 4N26, dont la structure interne est représentée sur la
figure (4.5) et dont les caractéristiques principales sont données sur l’annexe.

Figure. (4.5) : Schéma fonctionnel du photo-coupleur 4N26

 Calcul de la résistance d’entrée du photo-coupleur


D’après la figure (4.4) on peut calculer la valeur de la résistance d’entrée Rd qui
protège la diode émettrice (LED) contre les surintensités :
Ve=Vf+Rd.Id
ೇ೐ షೇ೑
D’où :ܴௗ ൌ ಺೏

Avec ;
Ve : tension d’entrée ;
Vf : tension à la borne de la diode en conduction ;
Id : courant direct de la diode ;
Rd : résistance d’entrée.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 48
Chapitre IV Etude et Réalisation Pratique

Dans notre cas : Ve = 5V


Vf = 1.5V
Id = 10mA
Ce qui donne : Rd = 380 Ω

 Calcul de la résistance de la sortie du photo-coupleur

Suivant les caractéristiques du phototransistor on peut aussi calculer la valeur de la


résistance de sortie :
Vcc = R2.Ic + Vce
Avec ;
Vcc : tension d’alimentation.
Vce : tension collecteur-émetteur.
Ic : le courant collecteur.
Dans notre cas :
Vcc = 15V
Vce = 0.5V
Ic = 2mA
Ce qui donne : R2 = 725 Ω

IV.2.3. Bloc de puissance « hacheur série »

a. Protection da la grille du MOSFET

 Protection par une résistance Rg

Lors de l’attaque des grilles des MOSFETs par les signaux de commande, la
tension grille-source peut atteindre des valeurs critiques pouvant détruire ces
composants, une résistance Rg est prévue à l’entrée du transistor afin de réduire le
courant de grille et par suite diminuer l’amplitude des oscillations qui prend
naissance dans le circuit de grille

 Protection par une diode

Une diode placée en parallèle avec Rg permet d’améliorer le temps de coupure, elle
conduit au cours du blocage du transistor.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 49
Chapitre IV Etude et Réalisation Pratique

 Protection par une diode zener


z

A cause de la présence des oscillations, dans le circuit de grille au moment de


l’amorçage du transistor, qui peut produire des surtensions dépassants la valeur
VGSmax, une diode zener placée en inverse entre la grille et la source limite la tension
à l’entrée
rée du transistor en cas d’oscillation excédentaire,
excédentaire la figure (4.6)
.6) représente les
éléments de protection de la grille du MOSFET :

Figure (4.6) : Elément de protection de la grille du MOSFET

b. Circuit de puissance

Le circuit de puissance réalisé est un hacheur de type dévolteur, son principe de


fonctionnement est donné dans le chapitre VI, il est constitué essentiellement :

 D’un interrupteur électronique MOSFET 2SK1082


2SK dont les caractéristiques
caractéristi
sont données dans l’annexe
l’ ;
 D’une charge, dans notre étude elle est représentée par un moteur à courant
continu shunt de puissance 1.1KW, de tension nominale Un = 220V, de
courant nominal In = 1.6A et de vitesse nominale Nn = 1500 t/min ;
 D’une
ne diode de roue libre qu’il est monté en parallèle avec la charge ;
 D’unn circuit de protection facile à connecter, pour que le dispositif puisse
puis
fonctionner avec CALC.
CALC

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 50
Chapitre IV Etude et Réalisation Pratique

Le schéma de puissance est illustré sur la figure (4.7).

Alimentation

A CC

Figure (4.7): Dispositif de puissance

c. circuit d'aide à la commutation « CALC »

La figure (4.8) indique un circuit d’aide à la commutation, permet de ne pas


dépasser l’air de sécurité de fonctionnement du transistor et diminuer les pertes
pendant les durées de blocage et de conduction.

Figure (4.8) : Circuit d’aide à la commutation

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 51
Chapitre IV Etude et Réalisation Pratique

Son principe de fonctionnement est décrit comme suite :


Quand l’interrupteur principal commence à se bloquer, la tension à ses bornes
augmente, et le courant passe dans le conducteur Cs, ce qui permet de retarder la
montée de la tension
On a: Id = Ich - I
Avec:
Id : le courant dans le collecteur.
Ich : est le courant dans la charge.
I : est le courant circulant dans la capacité

La courant Id s’annule avant que la tension drain-source ait atteint sa valeur


maximale pour un courant Id nul VDS = (Ich/2Cs).toff .

Au moment de la conduction du composant, le condensateur Cs se décharge


dans Rs à travers Ds, cette diode shunt la résistance Rs durant la charge de Cs. La
valeur du condensateur doit être calculée suivant les caractéristiques du MOSFET
(2SK1082), et on a :
−9
I D max .t off 6.230.10
Cs ≥ = = 1.53ηF
VDS max 900

Nous avons choisi : Cs = 10ηF


L’augmentation de Cs à la diminution de dv/dt, et automatiquement une faible
dissipation de puissance. La résistance doit avoir pour valeur:
1
Rs =
20. fs.Cs
Avec : fs = 5KHz
Alors : Rs = 1KΩ

Les calculs faits précédemment étaient prévus pour la détermination du circuit


d’aide à la commutation lors du blocage. Un circuit de CALC est utilisé à l’amorçage
du MOSFET, il s’agit de l’inductance Ls et de son circuit dissipatif Dp et Rp, la
dI ch
chute de tension à ses bornes est : VLs = Ls. ; donc
dt
−9
V .t 900.170.10
Ls > Ls max r = = 2.5µH
I ch 6

Nous avons choisi Ls = 4.5 µH

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 52
Chapitre IV Etude et Réalisation Pratique

IV.3. TESTS ET RESULTATS :


Dans cette partie On a fait une série des tests des signaux de la commande ainsi que
la vitesse au niveau de démarrage, à vide et en charges du moteur et voici quelques
résultats:

a. Forme du signal de commande MLI (PWM) :


La vitesse de la machine dépend de ces signaux (MLI).

Les figures (4.9) représentent la forme du signal de commande MLI (PWM)

a b

c d

(4. : forme du
Figure (4.9) signal de commande

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 53
Chapitre IV Etude et Réalisation Pratique

b. Forme De La Vitesse Du Moteur :

Les figures (4.10) représentent la forme de la vitesse du moteur au démarrage.

Figure (4.10
10) : forme des signaux de vitesse au démarrage

Echelle A=0.5V ‫ ـــــــ‬1s

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 54
Chapitre IV Etude et Réalisation Pratique

c. Forme De La Vitesse Du Moteur Avec Application D’une Charge :


Les figures (A,, B, C)
C) représente la forme de la vitesse du moteur avec
Application D’un Couple (charge et décharge).

A
Echelle A=0.5V ‫ـــــــــــ‬1s

Echelle A=0.5V ‫ـــــــــــ‬1s

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 55
Chapitre IV Etude et Réalisation Pratique

C
Echelle A=0.5V ‫ـــــــــــ‬1s

• COMMENTAIRES

Après ces résultats on peut dire que notre circuit est un correcteur de vitesse.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 56
Chapitre IV Etude et Réalisation Pratique

IV.4. CIRCUIT REALISE


IV.4.1. Circuit final en 3D

Figure (4.9) : circuit en 3 dimensions

IV.4.2. Implantation des composants


Figure (4.10) : implantation des composants

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 57
Chapitre IV Etude et Réalisation Pratique

VI.4.3. Circuit imprimé :

Figure (4.11) : Circuit imprimé

IV .6. CONCLUSION
Ce chapitre a donné lieu à une étude et réalisation des modules suivant :
• Un circuit de commande et de régulation numérique à base du PIC 16F877A.
sert à générer un signal PWM pour la commande d’un hacheur, ainsi que la
régulation de la vitesse de rotation d’un moteur à courant continu
• Un affichage en LCD qui sert à visualiser la vitesse de capture en
tours/minute d’un moteur à courant continu
• Un circuit de puissance, contient un transistor MOSFET qui est utilise
comme un interrupteur électronique commandé par un signal PWM

A la fin de cette réalisation, on a effectué des testes au niveau de la vitesse,


forme des signaux de la vitesse au démarrage, forme des signaux de la vitesse avec
un couple (charge et décharge), on peut conclure que les résultats obtenus sont
appréciables compte tenus des limitations du matériel et des moyens dont nous
disposons.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A 58
Conclusion Générale

CONCLUSION GENERALE

Ce travail a été pour nous, le premier pas vers un monde nouveau et complexe,
mais très intéressant Notre recherche bibliographique dans les domaines de
l’électronique, de l’électrotechnique et de l’informatique tel que « les interrupteurs
électroniques, les hacheurs, les machines à courant continu et la programmation », nous
a permis d’enrichir nos connaissances et de développer notre base théorique.
Dans ce travail nous avons essayé d’apporter d’utiliser et d’employer les
possibilités offertes par l’emploi des PICs dans le domaine des machines à courants
continu. Notre objectif principal était donc de fournir à la fois un outil moins coûteux et
d’une utilité.

 Nous avons réalisé une carte de commande et de régulation pour la génération


de PWM à base d’un PIC 16F877A. Cette carte électronique se caractérise par
sa fiabilité, sa flexibilité de la commande et une réduction de coût de la
réalisation.

 Un hacheur série à transistor à effet de champ MOSFET, a été utilisé dans cette
réalisation, commandé par un signal PWM. Le transistor MOSFET présente des
avantages qui permettent de le considéré comme commutateur favorable pour la
conversion d’énergie électrique.

 La régulation de la vitesse est réalisée à l’aide d’un PIC.

Nous pouvons confirmer que l’objectif, fixé au départ, a pu être atteint et notre
travail satisfait les exigences déterminées au début.

Toute fois la champ d’investigation est très large et les méthodes très nombreuses.
Des améliorations peuvent être apportés à ce travail afin de le perfectionner et de
l’enrichir.

 Au niveau du circuit de commande.


 Au niveau de la régulation.

Par exemple de compléter ce travail afin de commander et de réguler la vitesse d’un


moteur dans les sens de rotation.

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A
59
NOMENCLATURE
‫ـــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــ‬NOMENCLATURE
LISTE DES COMPOSANTS

Carte de Commande :

IC 1 = PIC 16F877A
IC 2 = 2N26 (optocoupleur)
MOSFET = 2SK1082
LCD = MDLS 16265c-LV 16X2
Quartz 20 MHz
Rv1, Rv2 = 10 kΩ
R1, R5, R6 = 330 Ω
R2 = 10 kΩ
R3 = 380 Ω
R4 = 860 Ω
R7 = 30 kΩ
R8 = 1 kΩ
C1, C2 = 15nF céramique
C3, C5, C6 = 10 nF
C4 = 200 nF
DRL = diode BY329
D1 , D2, D3, D4 = BY329
Dz = 15v
L1 = Self 4.5 µh
LED = verte et rouge

Alimentation Stabilisée +5
Transformateur 6v
Pond de diode
C1 = 470 µf
C2 = 100 µf
Régulateur L7805

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A
60
‫ـــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــ‬NOMENCLATURE

Alimentation stabilisée ±15


Transformateur 18v
D1 ,D2, D3, D4 = IN 4001
Régulateur LM317
Régulateur LM337
C1 ,C2 = 1000 µf
C3,C4,C5 = 10 µf
R1,R2 = 4.7 KΩ
R3 = 250 Ω
R4= 790 Ω
2 LED rouge
F1 = Fusible 250V / 200mA

Conception d’une carte de Commande de la Machine à Courant Continu par un microcontrôleur PIC 16F877A
61
BIBLIOGRAPHIE
‫ـــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــــ‬BIBLIOGRAPHIE

Les livres :
[1] : louis ; « commande des machine à courant continu à vitesse variable » ;Méthodes et
pratique de l’ingénieur ;1990.

[2] : Caron, hautier ; « modélisation et commande de la machine à c.c » ;Edition


technip ;1995.

[3] : christian tavernier ; « application industrielles des pic » ; duno ; juin 2001

[4] : patrice oguic ; « mesures et pc » ; dunod ; juin 2000

[5] : bigonoff ; la programmation des PIC ; la gamme mid-range par l’étude des
16F877X ;seconde partie – révision 11 ; 25/08/2004

[6] :boubekour samir ; électronique des impulsions ; office des publication universitaires ;
mars 1995

Les Sites Internet :


[1] : http://www.microchip.com

[2] : http://parts.digikey.com/1/678438-lcd-module-16x2-standard-mdl-16265-ss-lv.html

[3] : http://www.gel.usherbrooke.ca/leroux/projet/data/Moteur/chap11.htm

[4] : crouzet.com/catalogue_web/pdf/FRA/ndb12_fra.pdf

[5] : sitelec.free.fr/cours/moteurcontinu.pdf

[6] : nicole.cortial.net/revisions/continu.pdf

[7] : http://www.datasheetcatalog.com/datasheets_pdf/2/S/K/1/2SK1082.shtml

[8] : http://www.datasheetcatalog.com/datasheets_pdf/4/N/2/5/4N25.shtml
ANNEXES
L’AFFICHEUR LCD MDLS16265C-LV

PRESENTATION DE L’AFFICHEUR LCD :


Les afficheurs à cristaux liquides sont des modules compacts intelligents et
nécessitent peu de composants externes. Ils sont utilisés avec beaucoup de facilité. Ils
sont pratiquement les seuls à être utilisés sur les appareils à alimentation par pile.
Plusieurs afficheurs sont disponibles sur le marché et ne se différent pas les
unes des autres, seulement par leurs dimensions,( 1 à 4 lignes de 6 à 80 caractères ),
mais aussi par leurs caractéristiques techniques et leurs tension de services.
Certains sont dotés d’un rétro éclairage de l’affichage. Cette fonction fait
appel à des LED montées derrière l’écran du module, cependant, cet éclairage est
gourmand en intensité ( 250mA max ).

PRESENTATION D’UN ECRAN LCD :

Qu’il soit à une ou deux lignes, un afficheur LCD se présente sous la forme
suivante :

Au-dessus de l’écran à cristaux liquides proprement dit, on trouve une série


de 14 broches aux rôles Suivantes :
• Broche 1 : masse ;
• Broche 2 : Vcc ;
• Broche 3 : luminosité ;
• Broche 5, R/W : sélection du mode lecture ou écriture :
0 ecriture
1 lecture

• Broche 6, E : Commande des opérations d’écriture ou de lecture ;


• Broche 7à 14 : utilisées pour le transfert des données ou des instructions. Le
transfert peut se faire sur 8 bits, toutes les broches sont alors utilisées, ou sur 4
bits, dans ce cas, seules les broches 11 à 14 sont utilisées.

schéma d ‘un afficheur LCD


L’AFFICHEUR LCD MDLS16265C-LV

FONCTIONNEMENT :
Un afficheur LCD est capable d’afficher tous les caractères alphanumériques
usuels et quelques Symboles supplémentaires. Pour certains afficheurs, il est même
possible de créer ses propres Caractères.

Chaque caractère est identifié par son code ASCII qu’il faut envoyer sur les lignes
D0 à D7 broches 7 A 14. Ces lignes sont aussi utilisées pour la gestion de l’affichage
avec l’envoi d’instructions telles Que l’effacement de l’écran, l’écriture en ligne 1 ou
en ligne 2, le sens de défilement du curseur.

PRINCIPALES INSTRUCTIONS :
 Effacement de l’écran en remplissant du caractère « espace »
D7 D6 D5 D4 D3 D2 D1 D0
0 0 0 0 0 0 0 1

 Retour en début de première ligne


D7 D6 D5 D4 D3 D2 D1 D0
0 0 0 0 0 0 1 *

 Aller en début de seconde ligne

D7 D6 D5 D4 D3 D2 D1 D0
1 1 0 0 0 0 0 0

 Mode d’affichage

D7 D6 D5 D4 D3 D2 D1 D0
0 0 0 0 0 1 I/D S

• Si I\D = 1 : le déplacement du curseur vers la droite ;


• Si I\D = 0 : le déplacement vers la gauche.
• Si S = 1 : le déplacement du texte affiché vers la droite
• Si I\D = 1 vers la gauche.
• Si I\D=0
• Si S=0 : aucun déplacement du texte.
L’AFFICHEUR LCD MDLS16265C-LV

 Contrôle d’affichage

D7 D6 D5 D4 D3 D2 D1 D0
0 0 0 0 1 D C B

• Si D = 1 : affichage visible.
• Si C = 1 : curseur visible.
• Si B = 1 : inversion.
 Déplacement affichage et curseur, sans opération d’écriture
D7 D6 D5 D4 D3 D2 D1 D0
0 0 0 1 S\C R\L * *

S\L R\L ACTIONS


0 0 Déplacement du curseur vers la droite
0 1 Déplacement du curseur vers la gauche
1 0 Déplacement de l’affichage vers la droite
1 1 Déplacement de l’affichage vers la gauche

 Fonction
D7 D6 D5 D4 D3 D2 D1 D0
0 0 1 DL N F * *

• Si DL = 1 : donnée sur 8 bits, sur 4 bits si DL = 0.


• Si N = 0 : affichage sur 1 ligne, sur 2 ligne si N = 1.
• Si F = 0 : taille des caractères 5x 8,5 x 10 si F= 1.
L’AFFICHEUR LCD MDLS16265C-LV
ANNEXE PIC 16F877A

Pin Diagram
ANNEXE PIC 16F877A
ANNEXE PIC 16F877A
ANNEXE PIC 16F877A
ANNEXE PIC 16F877A
ANNEXE PIC 16F877A
ANNEXE PIC 16F877A
ANNEXE MOSFET 2SK1082
ANNEXE MOSFET 2SK1082
ANNEXE MOSFET 2SK1082
2(0(3(45 Order this document
SEMICONDUCTOR TECHNICAL DATA by 4N25/D

6789 <
67895<
GlobalOptoisolator

!"#$ &'" ()*+#,+-.*+/,


0/.$,#,*+/ (1*)1* 678 <
[CTR = 20% Min]

The 4N25/A, 4N26, 4N27 and 4N28 devices consist of a gallium arsenide
infrared emitting diode optically coupled to a monolithic silicon phototransistor
678:
detector.
• Most Economical Optoisolator Choice for Medium Speed, Switching Applications
678;
[CTR = 10% Min]
• Meets or Exceeds All JEDEC Registered Specifications
*Motorola Preferred Devices
• To order devices that are tested and marked per VDE 0884 requirements, the
suffix ”V” must be included at end of part number. VDE 0884 is a test option.
Applications
STYLE 1 PLASTIC
• General Purpose Switching Circuits
• Interfacing and coupling systems of different potentials and impedances
• I/O Interfacing
• Solid State Relays
6
1
MAXIMUM RATINGS (TA = 25°C unless otherwise noted) STANDARD THRU HOLE
CASE 730A–04
Rating Symbol Value Unit
INPUT LED
Reverse Voltage VR 3 Volts
Forward Current — Continuous IF 60 mA SCHEMATIC
LED Power Dissipation @ TA = 25°C PD 120 mW
with Negligible Power in Output Detector
1 6
Derate above 25°C 1.41 mW/°C

OUTPUT TRANSISTOR 2 5
Collector–Emitter Voltage VCEO 30 Volts 3 4

Emitter–Collector Voltage VECO 7 Volts


PIN 1. LED ANODE
Collector–Base Voltage VCBO 70 Volts
2. LED CATHODE
Collector Current — Continuous IC 150 mA 3. N.C.
4. EMITTER
Detector Power Dissipation @ TA = 25°C PD 150 mW
5. COLLECTOR
with Negligible Power in Input LED
6. BASE
Derate above 25°C 1.76 mW/°C

TOTAL DEVICE
Isolation Surge Voltage(1) VISO 7500 Vac(pk)
(Peak ac Voltage, 60 Hz, 1 sec Duration)
Total Device Power Dissipation @ TA = 25°C PD 250 mW
Derate above 25°C 2.94 mW/°C
Ambient Operating Temperature Range(2) TA – 55 to +100 °C
Storage Temperature Range(2) Tstg – 55 to +150 °C
Soldering Temperature (10 sec, 1/16″ from case) TL 260 °C
1. Isolation surge voltage is an internal device dielectric breakdown rating.
1. For this test, Pins 1 and 2 are common, and Pins 4, 5 and 6 are common.
2. Refer to Quality and Reliability Section in Opto Data Book for information on test conditions.
Preferred devices are Motorola recommended choices for future use and best overall value.
GlobalOptoisolator is a trademark of Motorola, Inc.

REV 5
Motorola
 Motorola, Inc.Optoelectronics
1995 Device Data 1
!"# !"#% !"& !"' !"(
ELECTRICAL CHARACTERISTICS (TA = 25°C unless otherwise noted)(1)
Characteristic Symbol Min Typ(1) Max Unit
INPUT LED
Forward Voltage (IF = 10 mA) TA = 25°C VF — 1.15 1.5 Volts
TA = –55°C — 1.3 —
TA = 100°C — 1.05 —
Reverse Leakage Current (VR = 3 V) IR — — 100 µA
Capacitance (V = 0 V, f = 1 MHz) CJ — 18 — pF
OUTPUT TRANSISTOR
Collector–Emitter Dark Current 4N25,25A,26,27 ICEO — 1 50 nA
(VCE = 10 V, TA = 25°C 4N28 — 1 100
(VCE = 10 V, TA = 100°C) All Devices ICEO — 1 — µA
Collector–Base Dark Current (VCB = 10 V) ICBO — 0.2 — nA
Collector–Emitter Breakdown Voltage (IC = 1 mA) V(BR)CEO 30 45 — Volts
Collector–Base Breakdown Voltage (IC = 100 µA) V(BR)CBO 70 100 — Volts
Emitter–Collector Breakdown Voltage (IE = 100 µA) V(BR)ECO 7 7.8 — Volts
DC Current Gain (IC = 2 mA, VCE = 5 V) hFE — 500 — —
Collector–Emitter Capacitance (f = 1 MHz, VCE = 0) CCE — 7 — pF
Collector–Base Capacitance (f = 1 MHz, VCB = 0) CCB — 19 — pF
Emitter–Base Capacitance (f = 1 MHz, VEB = 0) CEB — 9 — pF
COUPLED
Output Collector Current (IF = 10 mA, VCE = 10 V) IC (CTR)(2) mA (%)
4N25,25A,26 2 (20) 7 (70) —
4N27,28 1 (10) 5 (50) —
Collector–Emitter Saturation Voltage (IC = 2 mA, IF = 50 mA) VCE(sat) — 0.15 0.5 Volts
Turn–On Time (IF = 10 mA, VCC = 10 V, RL = 100 Ω)(3) ton — 2.8 — µs
Turn–Off Time (IF = 10 mA, VCC = 10 V, RL = 100 Ω)(3) toff — 4.5 — µs
Rise Time (IF = 10 mA, VCC = 10 V, RL = 100 Ω)(3) tr — 1.2 — µs
Fall Time (IF = 10 mA, VCC = 10 V, RL = 100 Ω)(3) tf — 1.3 — µs
Isolation Voltage (f = 60 Hz, t = 1 sec)(4) VISO 7500 — — Vac(pk)
Isolation Resistance (V = 500 V)(4) RISO 1011 — — Ω
Isolation Capacitance (V = 0 V, f = 1 MHz)(4) CISO — 0.2 — pF
1. Always design to the specified minimum/maximum electrical limits (where applicable).
2. Current Transfer Ratio (CTR) = IC/IF x 100%.
3. For test circuit setup and waveforms, refer to Figure 11.
4. For this test, Pins 1 and 2 are common, and Pins 4, 5 and 6 are common.

2 Motorola Optoelectronics Device Data


!"# !"#% !"& !"' !"(
TYPICAL CHARACTERISTICS

I C , OUTPUT COLLECTOR CURRENT (NORMALIZED)


2 10
PULSE ONLY
PULSE OR DC NORMALIZED TO:
VF, FORWARD VOLTAGE (VOLTS)

1.8 IF = 10 mA

1
1.6

1.4
0.1
TA = –55°C
1.2 25°C

100°C
1
1 10 100 1000 0.01 0.5 1 2 5 10 20 50
IF, LED FORWARD CURRENT (mA) IF, LED INPUT CURRENT (mA)

Figure 1. LED Forward Voltage versus Forward Current Figure 2. Output Current versus Input Current

I C , OUTPUT COLLECTOR CURRENT (NORMALIZED)


28 10
7
IC , COLLECTOR CURRENT (mA)

24 IF = 10 mA 5 NORMALIZED TO TA = 25°C
20
2
16
5 mA 1
12 0.7
0.5
8

4 2 mA 0.2
1 mA
0 0.1
0 1 2 3 4 5 6 7 8 9 10 –60 –40 –20 0 20 40 60 80 100
VCE, COLLECTOR–EMITTER VOLTAGE (VOLTS) TA, AMBIENT TEMPERATURE (°C)

Figure 3. Collector Current versus Figure 4. Output Current versus Ambient Temperature
Collector–Emitter Voltage
ICEO, COLLECTOR–EMITTER DARK CURRENT

100

NORMALIZED TO: 50 VCC = 10 V


VCE = 10 V
100 TA = 25°C
20
(NORMALIZED)

{
t, TIME (µs)

RL = 1000 tf
10 10
VCE = 30 V

1
5 RL = 100 { tf
tr

2 tr
10 V
0.1 1
0 20 40 60 80 100 0.1 0.2 0.5 1 2 5 10 20 50 100
TA, AMBIENT TEMPERATURE (°C) IF, LED INPUT CURRENT (mA)

Figure 5. Dark Current versus Ambient Temperature Figure 6. Rise and Fall Times
(Typical Values)

Motorola Optoelectronics Device Data 3


!"# !"#% !"& !"' !"(
100 100
70 70
50 VCC = 10 V 50 VCC = 10 V

t off , TURN–OFF TIME ( µ s)


t on, TURN–ON TIME ( µs)

20 RL = 1000 20
RL = 1000
10 100 10
7 7
100
5 10 5
10

2 2

1 1
0.1 0.2 0.5 0.7 1 2 5 7 10 20 50 70 100 0.1 0.2 0.5 0.7 1 2 5 7 10 20 50 70 100
IF, LED INPUT CURRENT (mA) IF, LED INPUT CURRENT (mA)

Figure 7. Turn–On Switching Times Figure 8. Turn–Off Switching Times


(Typical Values) (Typical Values)

4 20
I , TYPICAL COLLECTOR CURRENT (mA)

IF = 0 IB = 7 µA 18 CLED
f = 1 MHz
6 µA 16
3 CCB
C, CAPACITANCE (pF)
14
5 µA 12
2 4 µA 10
CEB
8
3 µA 6 CCE
1
2 µA 4
2
1 µA
C

0
0 2 4 6 8 10 12 14 16 18 20 0.05 0.1 0.2 0.5 1 2 5 10 20 50
VCE, COLLECTOR–EMITTER VOLTAGE (VOLTS) V, VOLTAGE (VOLTS)

Figure 9. DC Current Gain (Detector Only) Figure 10. Capacitances versus Voltage

TEST CIRCUIT WAVEFORMS


INPUT PULSE
VCC = 10 V

IF = 10 mA RL = 100 Ω
10%
INPUT OUTPUT OUTPUT PULSE
90%

tr tf
ton toff

Figure 11. Switching Time Test Circuit and Waveforms

4 Motorola Optoelectronics Device Data


!"# !"#% !"& !"' !"(
PACKAGE DIMENSIONS

–A–
NOTES:
6 4 1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M, 1982.
–B– 2. CONTROLLING DIMENSION: INCH.
3. DIMENSION L TO CENTER OF LEAD WHEN
1 3 FORMED PARALLEL.
INCHES MILLIMETERS
DIM MIN MAX MIN MAX
F 4 PL C L A 0.320 0.350 8.13 8.89
N B 0.240 0.260 6.10 6.60
C 0.115 0.200 2.93 5.08
D 0.016 0.020 0.41 0.50
E 0.040 0.070 1.02 1.77
F 0.010 0.014 0.25 0.36
–T– K G 0.100 BSC 2.54 BSC
SEATING J 0.008 0.012 0.21 0.30
PLANE
G J 6 PL K 0.100 0.150 2.54 3.81
L 0.300 BSC 7.62 BSC
M 0.13 (0.005) M T B M A M
M 0 15 0 15
E 6 PL
N 0.015 0.100 0.38 2.54
D 6 PL
0.13 (0.005) M T A M B M STYLE 1:
PIN 1. ANODE
2. CATHODE
3. NC
4. EMITTER
5. COLLECTOR
6. BASE

CASE 730A–04
ISSUE G

–A–

6 4
–B– NOTES:
1 3 1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M, 1982.
2. CONTROLLING DIMENSION: INCH.

INCHES MILLIMETERS
L DIM MIN MAX MIN MAX
F 4 PL
H A 0.320 0.350 8.13 8.89
B 0.240 0.260 6.10 6.60
C 0.115 0.200 2.93 5.08
C D 0.016 0.020 0.41 0.50
E 0.040 0.070 1.02 1.77
–T– F 0.010 0.014 0.25 0.36
G G 0.100 BSC 2.54 BSC
J SEATING
H 0.020 0.025 0.51 0.63
PLANE
E 6 PL K 6 PL J 0.008 0.012 0.20 0.30
K 0.006 0.035 0.16 0.88
D 6 PL 0.13 (0.005) M T B M A M
L 0.320 BSC 8.13 BSC
S 0.332 0.390 8.43 9.90
0.13 (0.005) M T A M B M

*Consult factory for leadform


option availability
CASE 730C–04
ISSUE D

Motorola Optoelectronics Device Data 5


!"# !"#% !"& !"' !"(

–A– NOTES:
1. DIMENSIONING AND TOLERANCING PER ANSI
Y14.5M, 1982.
2. CONTROLLING DIMENSION: INCH.
6 4 3. DIMENSION L TO CENTER OF LEAD WHEN
FORMED PARALLEL.
–B–
1 3 INCHES MILLIMETERS
DIM MIN MAX MIN MAX
A 0.320 0.350 8.13 8.89
B 0.240 0.260 6.10 6.60
L C 0.115 0.200 2.93 5.08
F 4 PL N D 0.016 0.020 0.41 0.50
E 0.040 0.070 1.02 1.77
F 0.010 0.014 0.25 0.36
C G 0.100 BSC 2.54 BSC
J 0.008 0.012 0.21 0.30
–T– K 0.100 0.150 2.54 3.81
SEATING L 0.400 0.425 10.16 10.80
PLANE G N 0.015 0.040 0.38 1.02
K J
D 6 PL
E 6 PL 0.13 (0.005) M T A M B M
*Consult factory for leadform
option availability

CASE 730D–05
ISSUE D

Motorola reserves the right to make changes without further notice to any products herein. Motorola makes no warranty, representation or guarantee regarding
the suitability of its products for any particular purpose, nor does Motorola assume any liability arising out of the application or use of any product or circuit,
and specifically disclaims any and all liability, including without limitation consequential or incidental damages. “Typical” parameters can and do vary in different
applications. All operating parameters, including “Typicals” must be validated for each customer application by customer’s technical experts. Motorola does
not convey any license under its patent rights nor the rights of others. Motorola products are not designed, intended, or authorized for use as components in
systems intended for surgical implant into the body, or other applications intended to support or sustain life, or for any other application in which the failure of
the Motorola product could create a situation where personal injury or death may occur. Should Buyer purchase or use Motorola products for any such
unintended or unauthorized application, Buyer shall indemnify and hold Motorola and its officers, employees, subsidiaries, affiliates, and distributors harmless
against all claims, costs, damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death
associated with such unintended or unauthorized use, even if such claim alleges that Motorola was negligent regarding the design or manufacture of the part.
Motorola and are registered trademarks of Motorola, Inc. Motorola, Inc. is an Equal Opportunity/Affirmative Action Employer.

How to reach us:


USA / EUROPE: Motorola Literature Distribution; JAPAN: Nippon Motorola Ltd.; Tatsumi–SPD–JLDC, Toshikatsu Otsuki,
P.O. Box 20912; Phoenix, Arizona 85036. 1–800–441–2447 6F Seibu–Butsuryu–Center, 3–14–2 Tatsumi Koto–Ku, Tokyo 135, Japan. 03–3521–8315

MFAX: RMFAX0@email.sps.mot.com – TOUCHTONE (602) 244–6609 HONG KONG: Motorola Semiconductors H.K. Ltd.; 8B Tai Ping Industrial Park,
INTERNET: http://Design–NET.com 51 Ting Kok Road, Tai Po, N.T., Hong Kong. 852–26629298

!"#$%&
6 ◊ Motorola Optoelectronics Device Data
4N25/D
This datasheet has been download from:

www.datasheetcatalog.com

Datasheets for electronics components.

You might also like