You are on page 1of 3

Tugas Problem 4 Elektronika 2 Pak Marta

Salsabila Luvaridian
1306443040

2. Use an FPGA to implement the following Boolean equation : X = AB + A B.


a) Create a Block Design File called prob_c4_2.bdf. to define the logic circuit

b) Create a waveform File called prob_cp4_2.vwf to test the operation of your design
by showing the output waveform for all possible input conditions

c) Build a truth table ffor the Boolean equation

0
0
1
1

0
1
0
1

1
1
1
0

d)

4. Use an FPGA to implement the following Boolean equation : X= A(in)BC =


(in)A(in)BC
a) Create a VDHL File calledprob_cp4_4.vhd to define the logic circuit

b)

c) Truth Table
A

You might also like