Professional Documents
Culture Documents
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
in
in
in
in
in
in
in
in
out
out
out
out
out
out
out
out
out
out
out
out
out
out
out
std_logic;
std_logic;
std_logic;
std_logic;
std_logic_vector
std_logic_vector
std_logic_vector
std_logic;
std_logic_vector
std_logic_vector
std_logic;
std_logic;
std_logic;
std_logic;
std_logic;
std_logic;
std_logic_vector
std_logic_vector
std_logic_vector
std_logic_vector
std_logic_vector
std_logic;
std_logic);
(13
(13
(13
(13
(33
downto
downto
downto
downto
downto
0);
0);
0);
0);
0);
signal XLXN_34
: std_logic;
signal XLXN_39
: std_logic_vector (33 downto 0);
signal XLXN_40
: std_logic_vector (33 downto 0);
signal XLXN_41
: std_logic_vector (31 downto 0);
signal XLXN_49
: std_logic_vector (33 downto 0);
signal XLXN_50
: std_logic_vector (33 downto 0);
signal XLXN_84
: std_logic_vector (33 downto 0);
signal XLXN_85
: std_logic_vector (33 downto 0);
signal XLXN_86
: std_logic;
signal XLXN_87
: std_logic;
signal XLXN_89
: std_logic;
signal XLXN_90
: std_logic;
signal XLXN_91
: std_logic_vector (33 downto 0);
signal XLXN_93
: std_logic;
signal XLXN_96
: std_logic_vector (33 downto 0);
signal XLXN_99
: std_logic_vector (31 downto 0);
signal XLXN_100
: std_logic_vector (31 downto 0);
signal XLXN_101
: std_logic_vector (47 downto 0);
signal XLXN_103
: std_logic_vector (1 downto 0);
signal XLXN_104
: std_logic_vector (31 downto 0);
signal XLXN_105
: std_logic_vector (1 downto 0);
signal XLXN_106
: std_logic_vector (31 downto 0);
signal XLXN_107
: std_logic_vector (33 downto 0);
signal XLXN_108
: std_logic_vector (33 downto 0);
signal XLXN_109
: std_logic_vector (31 downto 0);
signal XLXN_110
: std_logic_vector (31 downto 0);
signal receprocal_DUMMY : std_logic_vector (1 downto 0);
signal real_in_DUMMY
: std_logic_vector (31 downto 0);
component fft
port ( xn_re
: in
std_logic_vector (31 downto 0);
xn_im
: in
std_logic_vector (31 downto 0);
start
: in
std_logic;
unload
: in
std_logic;
fwd_inv
: in
std_logic;
fwd_inv_we : in
std_logic;
clk
: in
std_logic;
xk_re
: out std_logic_vector (31 downto 0);
xk_im
: out std_logic_vector (31 downto 0);
xn_index : out std_logic_vector (13 downto 0);
xk_index : out std_logic_vector (13 downto 0);
rfd
: out std_logic;
busy
: out std_logic;
dv
: out std_logic;
edone
: out std_logic;
done
: out std_logic;
blk_exp
: out std_logic_vector (4 downto 0));
end component;
component ifft
port ( xn_re
xn_im
start
unload
fwd_inv
fwd_inv_we
clk
xk_re
xk_im
xn_index
xk_index
:
:
:
:
:
:
:
:
:
:
:
in
in
in
in
in
in
in
out
out
out
out
std_logic_vector
std_logic_vector
std_logic;
std_logic;
std_logic;
std_logic;
std_logic;
std_logic_vector
std_logic_vector
std_logic_vector
std_logic_vector
(33
(33
(13
(13
downto
downto
downto
downto
0);
0);
0);
0);
rfd
busy
dv
edone
done
blk_exp
end component;
component output
port ( start :
clk :
r_in :
i_in :
r_out :
i_out :
end component;
:
:
:
:
:
:
out
out
out
out
out
out
in
in
in
in
out
out
component half_fft
port ( clk
start
fft_in_real
fft_in_img
data_valid
fft_out_real
fft_out_img
end component;
std_logic;
std_logic;
std_logic;
std_logic;
std_logic;
std_logic_vector (4 downto 0));
std_logic;
std_logic;
std_logic_vector
std_logic_vector
std_logic_vector
std_logic_vector
:
:
:
:
:
:
:
in
in
in
in
out
out
out
(33
(33
(33
(33
downto
downto
downto
downto
std_logic;
std_logic;
std_logic_vector
std_logic_vector
std_logic;
std_logic_vector
std_logic_vector
0);
0);
0);
0));
component multiply_by_2
port ( a : in std_logic_vector (31 downto 0);
p : out std_logic_vector (33 downto 0));
end component;
component dc_removal
port ( clk
: in
in1
: in
start : out
out_dc : out
end component;
std_logic;
std_logic_vector (47 downto 0);
std_logic;
std_logic_vector (31 downto 0));
component Acumulator
port ( b : in std_logic_vector (31 downto 0);
clk : in
std_logic;
q : out std_logic_vector (47 downto 0));
end component;
component Fixed_point_32
port ( clk
:
P_34
:
hilbert_img_34 :
x_sign
:
y_sign
:
x
:
y
:
end component;
in
in
in
out
out
out
out
std_logic;
std_logic_vector
std_logic_vector
std_logic;
std_logic;
std_logic_vector
std_logic_vector
component Divider_reciprocal
port ( clk
: in
std_logic;
dividend : in std_logic_vector (1 downto 0);
divisor
: in std_logic_vector (31 downto 0);
rfd
: out std_logic;
quotient : out
fractional : out
end component;
component combine_quot_and_fraction
port ( clk
: in
std_logic;
quot
: in
std_logic_vector (1 downto 0);
fraction
: in
std_logic_vector (31 downto 0);
receprocal_result : out std_logic_vector (33 downto 0));
end component;
component atan_block
port ( x_in
:
y_in
:
clk
:
phase_out :
end component;
in
in
in
out
component shift_register
port ( x : in
std_logic;
y : in
std_logic;
clk : in
std_logic;
r : out std_logic;
i : out std_logic);
end component;
component atan_to_atan2
port ( real_sign : in
img_sign
: in
clk
: in
phase_atan : in
phase_atan2 : out
end component;
component Derivative
port ( clk
: in
phase_in : in
phase_out : out
end component;
std_logic;
std_logic;
std_logic;
std_logic_vector (33 downto 0);
std_logic_vector (33 downto 0));
std_logic;
std_logic_vector (33 downto 0);
std_logic_vector (33 downto 0));
begin
receprocal_DUMMY(1 downto 0) <= receprocal(1 downto 0);
real_in_DUMMY(31 downto 0) <= real_in(31 downto 0);
XLXI_1 : fft
port map (clk=>clk,
fwd_inv=>fwd_inv,
fwd_inv_we=>fwd_invwe,
start=>XLXN_93,
unload=>unload,
xn_im(31 downto 0)=>img_in(31 downto 0),
xn_re(31 downto 0)=>XLXN_41(31 downto 0),
blk_exp(4 downto 0)=>blk_exp(4 downto 0),
busy=>bzy,
done=>done,
dv=>XLXN_30,
edone=>edone,
rfd=>rfd,
xk_im(31 downto 0)=>XLXN_29(31 downto 0),
xk_index(13 downto 0)=>out_exp(13 downto 0),
xk_re(31 downto 0)=>XLXN_28(31 downto 0),