You are on page 1of 6

Electrnica Digital II.

Pgina 1 de 6

Contadores.
Un contador mdulo k es un circuito digital secuencial capaz de contar k sucesos distintos, tiene k estados de cuenta distintos, desde el 0 hasta el k-1. Estos estados se pueden implementar con n FFs, existiendo una relacin entre k y n determinada por la expresin: 2n-1 k 2n Si un contador tiene un mdulo que es potencia de 2, suele identificarse tambin como contador de n bits. Si las salidas del contador son la codificacin binaria del estado de cuenta (p.e.: salida 000 para un estado 000) y el nmero de estados es una potencia de dos, este suele denominarse divisor de frecuencia. A los contadores de mdulo 10, que cuentan de 0 a 9, usualmente se les denomina contadores de dcada. En la siguiente figura se ha representado la salida de un contador mdulo 8 (contador de 3 bits o divisor de frecuencia de 3 bits).

Diagrama de tiempos para un contador mdulo 8. Contador Asincrnico. El contador binario (de mdulo n) de la siguiente figura es el contador ms simple que se puede construir, solamente contiene n FFs.

Contador binario bsico, asincrnico. Cabe recordar que un FF T alterna su estado (de Qt+1= Q t ) con el flanco de subida de su seal de reloj (de 0 a 1), razn por la cual se toma la salida invertida del FF anterior como reloj (cuando se completa la cuenta de un FF el cambio es de 1 a 0). Para construir el mismo circuito con FFs J-K hay que tomar en cuenta que la entrada T equivale a interconectar las entradas J y K. Este circuito es un contador asncrono porque los cambios de estado en los FFs no suceden al mismo tiempo, dado que no comparten el mismo pulso de reloj.

Electrnica Digital II.

Pgina 2 de 6

Contador sincrnico. En un contador sincrnico se conectan todas las entradas CLK al mismo pulso de reloj. En este contador la entrada T de un determinado FF cambia a 1 solamente cuando se presenten 1s en todas la salidas anteriores, y slo entonces se permite que el estado se alterne con el siguiente pulso de reloj.

Contador binario sincrnico serial. Este contador se implementa una lgica repetitiva de 1 FF y 1 AND de 2 entradas por cada bit. Y se le conoce tambin como contador sincrnico serial porque la habilitacin de cambio (T=1) se transmite de un FF al siguiente, de manera serial. Contadores en circuito integrado. Comercialmente existen varios contadores en circuito integrado que aparte de realizar la funcin de generar secuencias binarias, tiene otras funciones adicionales que generalmente tienen que ver con la configuracin y modo de funcionamiento. Entre las funciones que se pueden encontrar en estos circuitos integrados se encuentran opciones de seleccin de secuencia ascendente o descendente, reset e inicializacin, entre otras. A continuacin se presenta una explicacin de los pines para dos de los contadores ms usados, el 74163, el 74169 y el 74191. Contador 74169. Entradas: - Cuenta si EN = E T = 0, de lo contrario no P N cuenta. - Cuenta en sentido ascendente si U/ D = 1, y viceversa. - Carga los datos de A, B, C y D si L = 0 (se D superpone al conteo). - Todas las operaciones ocurren en el flanco de subida de CLK. Salidas: - La salida R O normalmente es 1 pero cambia a 0, C si E T = 1, durante el estado 11112 (sentido N ascedente) o duante el estado 00002 (cuenta descendente). Q , Q , Q y Q son las salidas del contador.
A B C D

Electrnica Digital II.

Pgina 3 de 6

Diagrama de pines del 74169 Contador 74163. Entradas: - Cuenta si ENP = ENT = 1, de lo contrario no cuenta. - Carga los datos de A, B, C y D si L = 0 (se superpone al D conteo). - Borra si C R = 0 (se superpone a la carga y al conteo). L - Todas las operaciones ocurren en el flanco de subida de CLK. Salidas: - La salida RCO normalmente es 0 pero cambia a 1, si ENT = 1, durante el estado 11112. - Q , Q , Q y Q son las salidas del contador.
A B C D

Conexiones del 74163 para recorrido libre Operacin de inicio desplazado (offset) del 74163. Aunque el 74163 es un contador de mdulo 16 tambin puede hacerse que cuente en mdulos menores. P. e.: la salida RCO detecta el estado 1510 dando un 1, lo que se puede usar para forzar el estado inicial a 510 (ver figura lateral). El inversor hace que se introduzca el 510 presentado en A, B, C y D al activar la carga en el estado 1510, L = R O =0. Adems, al inicio C D el inversor tambin da 0, as que el circuito cuenta 510, 610, ... 1510, 510, pasando por 11 estados, es decir, que es de mdulo 11. 74163 de mdulo 11 e inicio en 5 Operacin de secuencia trunca del 74163. Un acercamiento diferente para un contador de mdulo menor a 16, sera por ejemplo: Este circuito usa una NAND (ver figura lateral) para detectar el estado 1010, 10102, y obligar al contador a que el siguiente estado sea 510, mediante la presentacin de un 0 en la entrada L . Esto se D da tanto con el 0 de la NAND, como con el 0 de RO . C Normalmente se usara una NAND de cuatro entradas (una para cada Q) para detectar un cierto estado, sin embargo, en este caso se aprovecha que ninguna estado anterior a 1010 tiene Q =1 y Q =1.
B D

74163 de mdulo 6 con inicio en 5 y final en 10

Electrnica Digital II.

Pgina 4 de 6

Un contador de mdulo mayor a 16 puede construirse montando circuitos 74163 en cascada. Las entradas ENP y ENT, CLR y LD de cada 74163 se conectan juntas en paralelo (habilitacin, reset y carga comunes). La seal de reloj para el 74163 de orden menor es CLK, mientras que para el siguiente 74163 es la salida RCO del contador anterior invertida ( R O ). C Contador 74191. Entradas: - Cuenta si EN BLE G = 0, de lo contrario no A cuenta. - Cuenta en sentido ascendente si DOWN/UP = 0, y viceversa. - Carga los datos de DATA A, DATA B, DATA C y DATA D si L = 0 (se superpone al conteo y es una operacin D asncrona). - Todas las operaciones ocurren en el flanco de subida de la seal de reloj, CLK, excepto la carga. Salidas: - La salida RIPPLE CLOCK normalmente es 1 pero cambia a 0 durante la ultima mitad del estado 11112 (sentido ascedente) o del estado 00002 (cuenta descendente). - La salida MAX/MIN normalmente es 0 pero cambia a 1 durante todo el estado 11112 (sentido ascedente) o del estado 00002 (cuenta descendente). - Q , Q , Q y Q son las salidas del contador.
A B C D

Diagrama de pines del 74169 Diseo de sistemas secuenciales sincrnicos usando contadores. Todas las posibilidades de transicin en un diagrama de estados pueden ser cubiertas usando de manera apropiada las funciones del contador como son reset, sobreflujo, carga, sostenimiento de la cuenta, direccin de la cuenta (ascendente/descendente), etc. Para dar un ejemplo del diseo de circuitos secuenciales con contadores, considrese el problema de un detector en el cual Z=1 si X tiene la secuencia 11002, el cualquier otro caso Z=0 (con restauracin externa). El diagrama de estados y la tabla de estados (incluyendo la asignacin) se muestran a continuacin. Tabla de estados Q2+Q1+Q0+, z Q2Q1Q0 x=0 x=1 000 000,0 001,0 001 000,0 010,0 010 011,0 000,0 011 100,1 000,0 100 100,0 100,0 101 xxx,x xxx,x 110 xxx,x xxx,x 111 xxx,x xxx,x

qv q0 q1 q2 q3 q4 x x x

Electrnica Digital II.

Pgina 5 de 6

Obsrvese que si se produce la secuencia 11002 el detector se comporta como un contador que detiene la cuenta una vez que se ha alcanzado el ltimo estado. De inicio, es claro que puede usarse un contador mdulo 5 o mayor, debido al nmero de estados, as que se utilizar un contador de 3 bits (mdulo 8). A continuacin, se analizarn las transiciones de cada estado y lo que stas implican al implementar el circuito con un contador. - El estado 0002 se mantiene con x=0. Contador sosteniendo la cuenta. - El estado 0002 con x=1 pasa a 0012. Cuenta uno haca adelante. - El estado 0012 con x=0 pasa a 0002. Cuenta uno haca atrs. - El estado 0012 con x=1 pasa a 0102. Cuenta uno haca adelante. - El estado 0102 con x=0 pasa a 0112. Cuenta uno haca adelante. - El estado 0102 con x=1 pasa a 0002. Clear. - El estado 0112 con x=0 pasa a 1002. Cuenta uno haca adelante. - El estado 0112 con x=1 pasa a 0002. Clear. - El estado 1002 se mantiene con x=0 o x=1. Contador sosteniendo la cuenta. Ntese que la clave de la solucin del problema de esta manera radica en la asignacin de estados, pues al asociar los estados con la numeracin binaria en orden (ascedente), se posibilita al mximo que cada transicin se asocie con la continuacin normal de la cuenta. El siguiente paso es considerar las seales que corresponden con el control del contador. En este caso se necesita una para controlar la direccin de la cuenta, a la cual llamaremos M (1=ascendente), una para el sostenimiento de la cuenta, denominada ENT (1=cuenta), y otra para el reset, que ser CLR (0=reset), de manera que la tabla quedara (incluyendo la salida, z, del sistema): Tabla de seales que se van a generar. CLR, ENT, M, z qv Q2 Q1 Q0 x=0 x=1 q0 0 0 0 1, 0, x, 0 1, 1, 1, 0 q1 0 0 1 1, 1, 0, 0 1, 1, 1, 0 2 q 0 1 0 1, 1, 1, 0 0, x, x, 0 3 q 0 1 1 1, 1, 1, 1 0, x, x, 0 4 q 1 0 0 1, 0, x 0 1, 0, x, 0 X 1 0 1 x, x, x, x x, x, x, x X 1 1 0 x, x, x, x x, x, x, x X 1 1 1 x, x, x, x x, x, x, x Obsrvese que la primera seal de control que se considera (para generarla como una salida) es CLR, esto se debe a que, comnmente, el reset se sobrepone a cualquier otra operacin, es decir, que relevancia de las dems seales de control depende de CLR. As mismo, ENT es determinante para M, puesto que, si se detiene la cuenta se vuelve irrelevante la definicin de la direccin (ascendente o descendente). Por lo tanto, la forma en que se acomodan las seales de control que se van a generar corresponde con el orden de predominio de las funciones asociadas. Finalmente, slo resta obtener las funciones (mapas de karnaugh) para las seales de control y realizar las conexiones. Si, por ejemplo, se usa el contador 74169, entonces los estados estaran indicados por Q , Q y Q , M correspondera con U/ D , y ENT con el inverso de E P y E P . Como este circuito no N N
A B C

Electrnica Digital II.

Pgina 6 de 6

contempla una entrada de reset, se puede emplear la entrada L (carga) y se deberan aterrizar A, B, C D y D, para cargar 00002 (operacin equivalente al reset).

You might also like