You are on page 1of 13

INTRODUCCION

La electrnica digital en la actualidad mueve el mundo entero, es la una de las ramas de la


ciencia que mas rpido evoluciona, y la de mayor importancia, todo gracias a que sus
aplicaciones son mltiples e innumerables, la sociedad cada vez mas depende de los
computadores y las comunicaciones electrnicas, temas en los que la electrnica digital tiene
gran impacto. Debido a esta gran importancia que tiene la electrnica digital, es crucial para
todo ingeniero electrnico hoy da tener fuertes bases en circuitera digital. En el siguiente
trabajo se har una sencilla aplicacin de circuitera combinacional y secuencial, lo que
ayudara a que los participantes interioricen los conocimientos adquiridos hasta el momento
en estos temas.






















OBJETIVOS


Conocer el funcionamiento de latches, cerrojos y Flip-Flops, como elementos
fundamentales de los circuitos digitales secuenciales.

Aplicar los conocimientos adquiridos sobre circuitera combinacional y secuencial en
la solucin de un problema prctico.

Aprender a disear circuitos digitales combinacionales y secuenciales.

Profundizarlos conocimientos de la unidad correspondiente y fortalecer el manejo de
electrnica digital en circuitos Combinacionales.

Comprobar la efectividad del sistema en un ambiente simulado, para posteriormente
pasar montaje fsico.














DESARROLLO


1. El estudiante debe describir la necesidad o problema muy bien.

R/: Disear un pequeo vehculo impulsado por dos motores DC, uno en cada rueda trasera.
El carro contar con dos sensores en la parte frontal que servirn para detectar el impacto
del carro con un obstculo. El diseo debe ser tal que el vehculo inicie su marcha normal
hacia adelante; una vez ste impacte debe recordar que el choque activa uno de los
sensores con el fin que el vehculo retroceda girando en un sentido diferente. No importa cul
de los dos sensores se active o haga el impacto siempre debe cambiar de giro, no importa
que impacte dos veces con el mismo microswitche. Una vez que uno de los sensores haya
detectado el impacto del carro, ste deber retroceder por un tiempo de dos (2) segundos y
reiniciar su marcha hacia adelante, este tiempo debe ser controlado usando un temporizador
555 en modo monoestable.

FASE 2: IMPLEMENTACION

2. Elaborar un diagrama de bloques.

El diagrama a bloques mostrado es la estructura general del diseo, donde los sensores son
microswitches Normalmente abiertos, el temporizador es un 555 en configuracin
monoestable, la memoria es un flip-flop tipo D, el circuito de potencia es un Puente H doble y
finalmente los motores 1 y 2 son los que impulsan el vehculo.
3. Elaborar una lista de materiales.

- Pila de 9 Voltios
- Pulsadores o microswitches Normalmente abiertos
- IC LM 555
- Resistencias varias: 20k, 10k, 6k, 2k
- Condensadores electrolticos varios: 47uF, 100uF, 10nF
- IC regulador de voltaje LM 7805
- IC flip-flop Tipo D 74LS74
- IC L293D (Puente H doble)

4. Definir las variables lgicas de entrada y salida del sistema.

- Variables de entrada:

A = Sensor 1
B = Sensor 2

Sensor 1
Sensor 2
Circuito Temporizador Memoria
Circuito
Potencia
Motores
MOTOR 1
MOTOR 2
Se aclara que por la aplicacin estos dos sensores actan en paralelo activando un circuito
temporizador el cual entregara un pulso que ser finalmente la entrada al circuito de control.

La entrada del sistema ser realmente:

C = Pulso temporizado LM 555

- Variables de Salida

X = Salida 1
Y = Salida 2

Estas salidas iran directamente al circuito de potencia que controla los motores que es en
este caso un Puente H.

5. Realizar el programa en la herramienta de VHDL.

R/: Le hemos puesto el nombre de Autmata al bloque completo que recibe la seal del 555
y entrega a la salida las seales que van al puente H en paralelo. Lo que hace que se realice
un movimiento independiente y que retroceda cada vez que choca con un obstculo. A
continuacin se muestra el cdigo en VHDL.

entity Automata is
port (C: in bit;
X, Y: out bit);
end;
;
architecture algoritmica of Automata is
begin
process (C)
begin
if C = 0 then
X <= 1; Y <=0;
elsif C = 1 then
X <= 0; Y <=1;
end if;
end Process
end algoritmica;
;
architecture RTL of Automata is
signal Cn: bit;
begin
Cn <= not (C)
X <= Cn
Y <= C
end RTL;


El cdigo anterior describe el bloque que corresponde al Flip-Flop, el cual recibe una seal
de entrada y entrega 2 salidas que son el complemento la una de la otra. El cdigo del
bloque completo tendra 1 entrada externa que seria los microswitches y tendra 2 salidas
que serian el complemento la una de la otra y que iran a las entradas del puente H para
activar los motores en un sentido o en otro.



6. Realizar una tabla de verdad que muestre la relacin entre las variables de entrada y
salida del sistema, si es posible simplificar la funcin usando mapas de Karnaugh.

Tomando en cuenta que la seal que controla el avance y retroceso es el pulso temporizado
la tabla de verdad es la siguiente:

C X Y
0 1 0
1 0 1

La tabla se ve algo sencilla pero hay que tener en cuenta que el funcionamiento del circuito
es muy bsico tambin. X = |C ; y Y = C, de esto es que se define el uso de un flip-flop tipo
D.

7. Realizar la respectiva simulacin del sistema utilizando Proteus, Multisim, etc.




- Simulacin donde se produce avance del vehculo:



- Simulacin donde se produce retroceso del Vehculo:


8. Realizar el montaje del circuito en un protoboard o en baquela para verificar su
funcionamiento.

Aqu iria la foto del montaje.

9. Describir el funcionamiento del sistema por sus propias palabras:

La idea general es que el vehculo una vez se ponga en marcha a travs de un switch
maestro de encendido inicie su avance, cuando el vehculo choque se activara uno de los
pulsadores que tiene en la parte frontal lo cual causa que el vehculo automticamente
retroceda por 2 segundos y luego avance nuevamente en otra direccin.

El vehculo diseado se compone de un circuito de control el cual se apoya en dos sensores
de contacto para su funcionamiento, en esta ocasin son dos micro switches normalmente
abiertos, pero pueden ser reemplazados por sensores pticos o de ultrasonido. El circuito de
control dependiendo del estado de los micro switches enva una seal temporizada
entregada por el LM 555 configurado como monoestable hacia un flip-flop tipo D el cual
gracias a sus 2 salidas (una directa y otra negada) se ajusta bien a las rdenes que se le
deben dar al circuito de potencia que es un puente H doble encapsulado en el IC L293D
para que los motores conectados a el giren en sentido contrario mientras el pulso de LM 555
se mantiene activo. Cuando el pulso de 555 termina los motores vuelven a girar en su
sentido original.


10. Realizar el video de la simulacin y del circuito fsico para enviar al tutor como
evidencias.

En el siguiente enlace se puede observar el video correspondiente a la simulacin en el
aplicativo PROTEUS:

- https://www.youtube.com/watch?v=7bukMwT4O50

En el siguiente enlace se puede observar el video correspondiente al montaje fsico y prueba
de funcionamiento:

- http:xxxxxxxxxx.


11. Tener presente que el perodo de oscilacin del C555 debe ser de 2 segundos.
R/: Circuito 555 en modo monoestable:

Con la formula ya establecida como se observa en la imagen aterior, tenemos que para
generar un pulso de 2 segundos:

Como en la prctica es ms fcil conseguir valores de resistencias o hacer arreglos de las
mismas para obtener una resistencia total determinada, pondremos un valor para C1 igual a
100 uF y despejaremos la incgnita R1

Entonces,





FASE 3: VERIFICACION

12. Para la verificacin del sistema en cuanto a sus variables, debe realizar muy bien el
diseo en el simulador de VHDL, partiendo del diagrama de flujo. Hay muchos
programas para realizar este procedimiento.

Le hemos puesto el nombre de Autmata al bloque completo que recibe la seal del 555 y
entrega a la salida las seales que van al puente H en paralelo. Lo que hace que se realice
un movimiento independiente y que retroceda cada vez que choca con un obstculo. A
continuacin se muestra el cdigo en VHDL.

entity Automata is
port (C: in bit;
X, Y: out bit);
end;
;
architecture algoritmica of Automata is
begin
process (C)
begin
if C = 0 then
X <= 1; Y <=0;
elsif C = 1 then
X <= 0; Y <=1;
end if;
end Process
end algoritmica;
;
architecture RTL of Automata is
signal Cn: bit;
begin
Cn <= not (C)
X <= Cn
Y <= C
end RTL;


El cdigo anterior describe el bloque que corresponde al Flip-Flop, el cual recibe una seal
de entrada y entrega 2 salidas que son el complemento la una de la otra. El cdigo del
bloque completo tendra 1 entrada externa que seria los microswitches y tendra 2 salidas
que serian el complemento la una de la otra y que iran a las entradas del puente H para
activar los motores en un sentido o en otro.

13. Realizar dos videos, uno para el diseo implementado en el software de simulacin
seleccionado y el otro con el montaje de la implementacin fsica del proyecto. Se
debe subir los dos videos a un gestor de videos en la web como evidencia del trabajo
realizado.

R/: En el siguiente enlace se puede observar el video correspondiente a la simulacin en el
aplicativo PROTEUS:

- https://www.youtube.com/watch?v=7bukMwT4O50

En el siguiente enlace se puede observar el video correspondiente al montaje fsico y prueba
de funcionamiento:

- http:xxxxxxxxxxxxx

14. Cuando realice las simulaciones es necesario que realice los videos de las dos,
una de la simulacin del circuito en su PC (usar la herramienta CamStudio) y otra
cuando el vehculo est funcionando una vez realice el montaje fsico (video de 45
segundos).
/: En el siguiente enlace se puede observar el video correspondiente a la simulacin en el
aplicativo PROTEUS:

- https://www.youtube.com/watch?v=7bukMwT4O50

En el siguiente enlace se puede observar el video correspondiente al montaje fsico y prueba
de funcionamiento:

- http:ggaevmaergidfkgerogizdfgagrgerge
CONCLUSIONES

-

Los sistemas digitales ofrecen solucin a diversos problemas, de diferentes formas, lo
complejo o fcil de la solucin generalmente se basa en la capacidad que tengamos para
analizar y sintetizar el plano, lo que no implica que deje de ser igual mente funcional.

Para realizar la inversin de polaridad en los motores DC, podemos encontrar varias
opciones, entre ellas est a travs de relevos, por medio de transistores formando un
armando un puente H y la opcin implementada el sistema, integrado L293D que puede
controlar dos motores dos puente H en un solo integrado-.

Durante el proceso, fue de vital ayuda, el uso de la simulacin, en este caso usando la
herramienta Proteus, la cual posee una gran variedad de libreras de componentes, as como
herramientas de medicin y verificacin de estados lgicos en sus entradas y salidas.

El desarrollo del laboratorio realmente fue muy significativo para nuestro aprendizaje, as
como para reforzar los temas tericos revisados en el mdulo.














BIBLIOGRAFIA


- Huerta Snchez Mara Antonia. Lgica y lgebra de Boole. Editorial OUC. 2011.
Recuperado Junio 28 de 2014 de:
http://www.etnassoft.com/biblioteca/logica-y-algebra-de-boole/

- Sanchez Ele Marcos. Introduccion a la Programacion VDHL. Editorial Computlense de
Madrid. 2012. Recuperado Junio 30 de 2014 de:
http://www.etnassoft.com/biblioteca/introduccion-a-la-programacion-en-vhdl/

- Muoz Fras Jos Daniel. Introduccin a los sistemas digitales. Editorial Autoedicin
Openlibra. 2012. Recuperado Junio 30 de 2014, de:
http://www.etnassoft.com/biblioteca/introduccion-a-los-sistemas-digitales/

You might also like