You are on page 1of 34

Universidad Nacional Experimental Politcnica

Antonio Jos de sucre


Vicerrectorado Luis Caballero Mejas
Laboratorio de Convertidores Elctricos

Sistemas Digitales
Trabajo 4

Fukushima Mitsuo Exp. 200420565

Caracas, 13 de Noviembre de 2013

INDICE
-

Maquinas Secuenciales
Diagramas de estado
Deteccin por secuencia.
Mtodo de un Flip-Flop por estado
Diseo de mquinas Secuenciales con el modelo y un Flip-flop por estado.
Diseo de mquinas secuenciales con el modelo de Moore y un Flip-flop por estado.

CIRCUITOS SECUENCIALES PARA EL DISEO DE CONTADORES.


En esta seccin veremos cmo pueden aplicarse tcnicas de diseo de circuitos secuenciales
especficamente al diseo de contadores. En general, los circuitos secuenciales pueden clasificarse en
dos tipos; (1) aquellos en los que la salida o salidas depende nicamente del estado interno actual
(denominados circuitos de Moore) y (2) aquellos en los que la salida o salidas depende tanto del estado
actual como de la entrada o entradas (denominados circuitos de Mealy).
ANALISIS DE MAQUINAS DE ESTADO SINCRONAS
-

Mquina de estados: Nombre genrico para un circuito secuencial con


realimentacin.
Sncrona: Todos los elementos de almacenamiento responden a la misma seal de
reloj.
Los cambios de estado solo ocurren en los flancos de reloj.

MODELOS MEALY Y MOORE.


El modelo ms general de un circuito secuencial tiene entradas, salidas y estados internos. Se
acostumbra distinguir entre dos modelos de circuitos secuenciales: el modelo Mealy y el modelo Moore.
Difieren en la forma en que se genera la salida. En el modelo Mealy, la salida es funcin tanto del estado
actual como de la entrada. En el modelo Moore, la salida solo es funcin del estado actual. Al tratar los
dos modelos, algunos libros y otras fuentes tcnicas ven el circuito secuencial como una mquina de
estados finitos (FSM, finite state machine). El modelo Mealy de un circuito secuencial es una FSM Mealy
o maquina Mealy. El modelo Moore es una FSM Moore o maquina Moore.
ESTRUCTURA DE UNA MAQUINA DE ESTADOS

MAQUINA MEALY

MAQUINA MOORE

ECUACIONES CARACTERISTICAS
Usadas para describir formalmente el comportamiento de los circuitos secuenciales.
denota el prximo valor de . Por ejemplo, para un Flip-flop D:

MODELO GENERAL DE UN CIRCUITO SECUENCIAL O MAQUINAS DE ESTADOS.


Antes de exponer una tcnica de diseo de contadores especfica, vamos a comenzar con una
definicin general de circuitos secuencial o de mquina de estados: un circuito secuencial est formado
por una etapa lgica combinacional y una seccin de memoria (Flip-flop), como se muestra en la Figura
1.0. En un circuito secuencial sincronizado, hay una entrada de reloj en la etapa de memoria, tal como se
indica.

Figura 1.0 Circuito secuencial general sincronizado.


Para el correcto funcionamiento del circuito se requiere la informacin almacenada en la tapa
de memoria, as como las entradas de la lgica combinacional
. En cualquier instante de

tiempo, la memoria se encuentra en un estado denominado estado actual y avanza al estado siguiente
con un impulso de reloj, determinado por las condiciones de las lneas de excitacin
El
estado actual de la memoria se representa por las variables de estado
variables de estado, junto con las entradas

Estas

determinan las salidas del sistema

No todos los circuitos secuenciales tienen variables de entrada y salida como en el modelo
general que se acaba de presentar. Sin embargo, todos tienen variables de excitacin y variables de
estado. Los contadores son un caso particular de los circuitos secuenciales sincronizados. En esta seccin
se aplica un procedimiento de diseo general de los circuitos secuenciales a los contadores sncronos a
travs de una serie de pasos.
PASOS
1- Diagrama de estados
El primer paso en el diseo de un contador consiste en crear un
diagrama de estado. Un diagrama de estado muestra la progresin de estados por los que el
contador avanza cuando se aplica una seal de reloj. Como ejemplo, en la Figura 1.1, se
muestra un diagrama de estados de un contador bsico en cdigo Gray de 3 bits. Este
circuito particular no tiene ninguna entrada aparte de la de reloj, y ninguna otra salida ms
que las de que se toman en cada Flip-flop del contador.

FIGURA 1.1 Diagrama de estados para un contador en cdigo Gray de 3 bits.


2- Tabla de estado siguiente. Una vez que se define el circuito secuencial mediante un
diagrama de estados, el segundo paso consiste en obtener una tabla del estado siguiente,
que enumera cada estado del contador (estado actual) junto con el correspondiente estado
siguiente. El estado siguiente es el estado al que el contador pasa desde su estado actual, al
aplicar un impulso de reloj. La tabla de estado siguiente se obtiene a partir del diagrama de
estados, y se muestra en la siguiente tabla.
es el bit menos significativo.

TABLA 1.0 Tabla de estado siguiente para el contador en cdigo Gray de 3 bits.
3- Tabla de transiciones de los Flip-flop
La tabla 1.1 es una tabla de transiciones del
Flip-flop J-K. Se enumeran todas las posibles transiciones de salida, mostrando cmo
evoluciona la salida del Flip-flop al pasar de los estados actuales a los estados siguientes.
es el estado presente en el Flip-flop (antes de un impulso de reloj) y

es el estado

siguiente (despus de un impulso de reloj). Para cada transicin de salida, se indican las
entradas J y K que dan lugar a la transicin. Las X indican condiciones indiferentes (la
entrada puede ser un 1 o un 0).
Al disear el contador, se aplica la tabla de transiciones
a cada Flip-flop del contador, la cual est basada en la tabla de estado siguiente (tabla 1.0).
Por ejemplo, para el estado actual 000,
pasa del estado actual 0 al estado siguiente 1.
Para que esto ocurra,

tiene que ser 1 y es indiferente el valor que tome

como se indica en la tabla de transiciones (Tabla 1.1). A continuacin,


el estado actual de

es 0 y permanece en 0 en el estado siguiente. Para esta transicin,

Por ltimo, el estado actual de


siguiente. Por tanto,

es 0 y permanece en 0 en el estado

. Este anlisis se repite para cada estado actual

definido en la tabla 1.0

TABLA 1.1 Tabla de transiciones para un Flip-flop J-K.

4- Mapas de Karnaugh
Los mapas de Karnaugh se utilizan para determinar la
lgica requerida para las entradas J y K de cada Flip-flop de contador. Se debe utilizar un
mapa de Karnaugh para la entrada J y otro para la entrada K de cada Flip-flop. En este
procedimiento de diseo, cada celda del mapa de Karnaugh representa uno de los estados
actuales de la secuencia del contador enumerados en la Tabla 1.0.
A partir de los estados J y K de la tabla de transiciones (tabla 1.1) se introduce un 1, un 0 o
una X en cada celda de la tabla correspondiente al estado actual, dependiendo de la
transicin de la salida Q de cada Flip-flop en particular. Para ilustrar este procedimiento, se
muestra en la Figura 1.2 dos valores de entrada de ejemplo para las entradas
del
Flip-flop menos significativo
Los mapas de Karnaugh completos de los tres Flip-flop del contador se muestran en la Figura
1.3. Las celdas se agrupan tal como se indica, obtenindose las expresiones booleanas
correspondientes para cada grupo.
5- Expresiones lgicas para las entradas de los Flip-flop
A partir de los mapas de
Karnaugh de la Figura 1.3 se obtienen las siguientes expresiones para las entradas J y K de
cada Flip-flop:

FIGURA 1.2 Ejemplos del procedimiento de utilizacin de mapas de Karnaugh para la secuencia de
contador representada de las Tablas 1.0 y 1.1

6- Implementacin del contador.


El paso final consiste es implementar la lgica
combinacional a partir de las expresiones de las entradas J y K, y conectar los Flip-flop para
conseguir un contador en cdigo Gray de 3 bits, como se muestra en la Figura 1.4.
A continuacin, se expone un resumen de los pasos dados en el diseo de este contador. En
general, estos pasos se pueden aplicar a cualquier circuito secuencial.
a- Especificar la secuencia del contador y dibujar un diagrama de estados.
b- Obtener la tabla del estado siguiente a partir del diagrama de estados.

FIGURA 1.3 Mapas de Karnaugh para las entradas J y K del estado actual.

FIGURA 1.4 Contador en cdigo de Gray de 3 bits.


c- Desarrollar una tabla de transiciones que muestre las entradas del Flip-flop requeridas
para cada transicin. La tabla de transiciones es siempre la misma para cada tipo de Flipflop.
d- Transferir los estados J y K de la tabla de transiciones al mapa de Karnaugh. Utilizar un
mapa de Karnaugh para cada entrada de cada Flip-flop.
e- Formar los trminos productos a partir de los mapas para generar unas expresiones
lgica, para cada entrada de los Flip-flop.

f-

Implementar las expresiones con lgica combinacional y conectarla a los Flip-flop para
crear el contador.

MTODO DE UN FLIP-FLOP POR ESTADO


Este mtodo usa un Flip-flop por estado en el circuito secuencial de control. Solamente se pone
a uno un Flip-flop en un tiempo dado, los dems se ponen a cero. Se hace programar un solo bit de un
Flip-flop a otro bajo el control de la 1gica de decisin. En tal arreglo cada Flip-flop representa un estado
y se activa solamente cuando el bit de control se transfiere a ste.
Es obvio que este mtodo no usa un nmero mnimo de Flip-flop para el circuito secuencial. De
hecho, ste usa un nmero mximo de Flip-flop. Por ejemplo un circuito secuencial con 12 estados
requiere un mnimo de cuatro Flip-flop porque 23 <12 < 24. Aun por medio de este mtodo el circuito de
control usa 12 Flip-flop para cada estado.
La ventaja de un Flip-flop por mtodo de estado es la simplicidad con la cual se disea. Este tipo
de controlador puede disearse por inspeccin a partir de un diagrama de estado que describe la
secuencia de control. A primera vista, parece que este mtodo aumentar el costo del sistema ya que se
necesita un mayor nmero de Flip-flop, pero, este mtodo ofrece otras ventajas que no son aparentes a
primera vista. Por ejemplo, ste ofrece un ahorro de esfuerzos en el diseo, un aumento en la
simplicidad operacional y una disminucin potencial en los circuitos combinacionales requeridos para
configurar el circuito secuencial completo.
La Figura 1.5 muestra la configuracin de una 1gica de control secuencial de cuatro estados,
que usa cuatro Flip-flop tipo D: un Flip-flop por estado Ti, i = 0, 1, 2, 3. En cualquier intervalo de tiempo
dado entre dos pulsos de reloj solamente un Flip-flop es igual a 1, el resto ser igual a 0. La transicin del
estado presente al siguiente es una funcin del presente Ti que es 1 y de ciertas condiciones de entrada.
El siguiente estado se manifiesta cuando el Flip-flop anterior se borra y el nuevo se pone a uno. Cada
una de las salidas del Flip-flop se conecta a la seccin de procesamiento de datos del sistema digital para
iniciar ciertos micro operaciones. Las otras salidas de control mostradas en el diagrama son una funcin
de las T y de las entradas externas. Estas salidas pueden tambin iniciar micro operaciones.

Si el circuito de control no necesita entradas externas para su cadencia, el circuito se reduce a


un circuito de desplazamiento simple con un solo bit que se desplaza de una posicin a la siguiente. Si la
secuencia de control debe repetirse una y otra vez, el control se reduce a un contador de anillo. Un
contador de anillo es un registro de desplazamiento con la salida del 1timo Flip-flop conectado a la
entrada del primer Flip-flop. En un contador de anillo el solo bit se desplaza continuamente de una
posicin a la siguiente de una manera circular. Por esta razn el mtodo de un Flip-flop por estado se
llama algunas veces un controlador del contador de anillo.

Figura 1.5 Lgica de control con un Flip-flop por estado

EJERCICIOS__
DISEO DE MAQUINAS SECUENCIALES CON MODELO DE MEALY Y UN FLIP-FLOP POR ESTADO.

1- Obtener el diagrama de estados de un sistema secuencial sincrnico que es capaz de detectar la


secuencia 110 cada vez que esta se presente. Determinar la secuencia de salida, cuando se
aplica en la entrada la secuencia: 0101011 utilizando el mtodo de Mealy.

2- Disear un contador con dos Flip-flop utilizando el mtodo de mealy, una variable externa S y
una salida Y.

3- Disear una mquina de Mealy en base a un contador ascendente/Descendente de tres bits,


usando el mtodo de la tabla de excitacin del contador, que cumpla el Diagrama de estado
adjunto.

Nota: El mtodo de la tabla de excitacin del contador pretende reducir al mnimo los errores
en la asignacin de las excitaciones en problemas complejos, alterna una visin global de los
cambios requeridos del estado presente al prximo estado y tambin el nmero de mapas es
menor por este mtodo que por el de mapa de referencia.

Obteniendo las funciones de salida por mapas.

Implementando el circuito Lgico.

Diseando la Maquina de Estados basndose en la tabla #1.

4-

Disear un circuito secuencial que reciba una secuencia de 0s y 1s en la entrada X y genere


salida Z=1 cuando los 3 ltimos bits de la secuencia sean 101, el circuito no se reinicializa.

5- Disear un detector de secuencia que reciba como entrada X una secuencia de 0s y 1s y genere
salida Z=1 si la secuencia de entrada es 010 o 1001 utilizando el mtodo de mquina Mealy.

6- Disear un detector de secuencias con re inicializacin utilizando el mtodo de Mealy, Construir


un circuito secuencial que reciba como entrada X una secuencia de 0s y 1s y genere salida Z=1 si
la secuencia de entrada es 0101 o 1001.

EJERCICIOS PROPUESTOS
1- Disear el sistema de una lavadora utilizando el mtodo de Mealy con las siguientes funciones,
lavado rpido = 1, medio = 2, lento = 3, y que por cada ciclo halla un ciclo para
siendo n la diferencia de tiempo de tardanza.
2- Disear un detector de secuencias con re inicializacin utilizando el mtodo de Mealy, Construir
un circuito secuencial que reciba como entrada X una secuencia de 0s y 1s y genere salida Z=1 si
la secuencia de entrada es 1111 o 0110.

3- Utilizando el mtodo de Mealy disear el sistema de una apertura de una caja fuerte que est
compuesto por dos teclas A, B y C, un circuito secuencial a disear y un temporizador que
mantiene la caja fuerte abierta durante 5 minutos cuando recibe un nivel lgico 1 desde el
circuito secuencial. Este temporizador vuelve a cerrar la caja fuerte pasado dicho tiempo,

independientemente del circuito secuencial, el nivel lgico va a ser 1 para la apertura luego que
se alcanza la secuencia correcta que esta previamente establecido anteriormente por el usuario,
la secuencia de las 3 entradas debe seguir un patrn de 5 dgitos de 3 entradas.
4- Utilizando el mtodo de Mealy se pretende disear un sistema secuencial sncrono con dos
entradas E1 y E0, y una salida S usando biestables D, de manera que proporcione salida alta solo
cuando las dos entradas estn a nivel bajo habiendo estado tambin a nivel bajo ambas
entradas en el ciclo de reloj anterior. Las transiciones se producen en el flanco de bajada del
reloj. En los restantes ciclos de reloj, la salida debe ser baja. Las seales de entrada son
peridicas, con un periodo 5 veces superior al periodo de reloj. Nota: Observar que no se
producen todas las transiciones posibles.
5- Utilizando el mtodo de Mealy se pretende disear el sistema e encendido de intermitencia de
un coche. Para ello hay que disear un circuito secuencial que cumpla las siguientes
especificaciones, de acuerda con la figura adjunto.
Cuando la palanca se coloque en la posicin DERECHA, se deber encender y apagar de forma
intermitente la luz identificada como D, de forma sncrona con un reloj de 1 Hz. Cuando la
palanca se coloque en la posicin IZQUIERDA, se deber encender y apagar de forma
intermitente la luz identificada como I, de forma sncrona con un reloj de 1 Hz. Cuando la
palanca se coloque en la posicin central (APAGADO) no se encender ninguna luz.
Cuando se active el interruptor de EMERGENCIA, se activarn ambas luces
simultneamente, y se desactivarn ambas de forma sncrona con el reloj, independientemente
de la posicin de la palanca, es decir, la entrada de emergencia tiene prioridad absoluta.

DISEO DE MAQUINAS SECUENCIALES CON EL MODELO DE MOORE Y UN FLIP-FLOP POR ESTADO.


1- Determinar la secuencia de estado para la siguiente mquina de Moore:

Figura E1.0. Diagrama de estados del ejemplo 1.


Con estado inicial C y secuencia de entrada: {0, 0, 0, 1, 1, 1,.}

Figura E1.1 Secuencia de salida ejemplo E1


Las mquinas de Moore suelen emplearse como reconocedores de secuencias. Es decir,
que entreguen una salida cuando ocurre una determina secuencia en la entrada.
Puede obtener la tabla de transiciones y la tabla con la lgica de salida, a partir del
diagrama de estados:

Tabla de transiciones del ejemplo E1

2-

Obtener el diagrama de estados de un sistema secuencial sincrnico que es capaz de detectar la


secuencia 110 cada vez que esta se presente. Determinar la secuencia de salida, cuando se
aplica en la entrada la secuencia: 0101011 utilizando el mtodo de Moore.

3- Disear un contador con 3 Flip-flop utilizando el mtodo de Moore.

4- Disear un contador con Flip-flop y una variable externa utilizando el mtodo de Moore.

5- Disear un circuito secuencial que reciba una secuencia de 0s y 1s en la entrada X y genere


salida Z=1 cuando los 3 ltimos bits de la secuencia sean 101, el circuito no se reinicializa.

6- Utilizando el mtodo de Moore para detectar secuencias, construir un circuito secuencial que
reciba como entrada X una secuencia de 0s y 1s y genere salida Z=1 si la secuencia de entrada
tiene un nmero impar de 1s y se han recibido al menos 2 0s consecutivos (El circuito no se
reinicializa).

EJERCICIOS PROPUESTOS.

1- Disear el sistema de una lavadora utilizando el mtodo de Moore con las siguientes funciones,
lavado rpido = 1, medio = 2, lento = 3, y que por cada ciclo halla un ciclo para
siendo n la diferencia de tiempo de tardanza.
2- Disear un detector de secuencias con re inicializacin utilizando el mtodo de Mealy, Construir
un circuito secuencial que reciba como entrada X una secuencia de 0s y 1s y genere salida Z=1 si
la secuencia de entrada es 1111 o 0110.
3- Disear el sistema de un semforo utilizando el mtodo de Moore utilizando las siguientes
secuencias.

4- Disear una mquina expendedora de tabaco utilizando el mtodo de Moore, se va a utilizar los
siguientes criterios.

5- Disear un sistema secuencial utilizando el mtodo de Moore capaz de reconocer el patrn


001011. La entrada al circuito se realizara a travs de una seal de entrada E, de forma sncrona
y en serie, (un nuevo bit cada ciclo de reloj). El circuito dispondr de una salida S que tomara el
valor 1 en el instante en el que reconozca el patrn de secuencia de entrada, y ser 0 en
todos los dems casos. Nota: debe tenerse en cuenta que al recibir un bit fuera de secuencia no
hay que desechar todos los valores recogidos hasta ese momento. Es posible que parte de la
secuencia siga siendo vlida.

You might also like