You are on page 1of 16

info 20

| Octobe
r 2009
nd Compilation
appears
q u a r t e r ly
rchitecture a
dded A
a nce a nd Embe
n H i g h Perform
n ce o
o f Excelle
Network
2 Message from the HiPEAC coordinator

3 Message from the project officer

HiPEAC Activity:
4 - HyperTransport Tutorial at Stanford University
4 - Rainer Leupers on his mini-sabbatical at ACE bv
5 - Joint Seminar: RWTH Aachen University visits FORTH

Community News:
2 - Gadgets could go greener with high-speed
computer chip
5 - Newsletter spell checking transition
6 - Ozcan Ozturk received the IBM Faculty Award

6 Announcement:
HiPEAC ng
Computi Week
- ALaRI institute invites to attend Doctoral School on
Complexity Management in Embedded Systems

7 In the Spotlight:
Systems aw
in Wrocł 26-28
- 9th International Forum on Embedded MPSoC and
Multicore (MPSoC 2009)

7 New HiPEAC Member:


- RuChip, Russian startup in Moscow October
8 HiPEAC Students and Trip Reports

12 PhD News

16 Upcoming Events
www.HiPEAC.net

HiPEAC 2010 Conference


Pisa, Italy, January 25−27
Intro

Message from the HiPEAC coordinator Koen De Bosschere

Dear friends,
I hope all of you have enjoyed a relaxing holiday season this summer. At the personal level,
vacations are important to work on personal relationships, to enjoy hobbies, and to re-energize.
In short, to keep a balance in life. For a network of excellence, the situation is different: it never
takes a day off, not even in the summer.

In June, HiPEAC2 underwent its first change to a new location did not have als, of which several are directly linked
review. The reviewers concluded that an impact on the appreciation for to the HiPEAC research clusters. The
the project successfully kicked off, that the summer school. We are already whole event is expected to be a major
we correctly managed the transition preparing the ACACES 2010 sum- networking event for our community.
between HiPEAC1 and HiPEAC2, and mer school, which will be officially The European commission has recently
that all activities are showing a healthy announced in the January newsletter. started consultation meetings to pre-
level of activity. The steering commit- In October we organize our fall com- pare the next call in computing sys-
tee and the staff is now working hard puting systems week in Wroclaw. This tems. The HiPEAC community is collab-
to implement the recommendations is the very first time that HiPEAC organ- orating actively in this effort, through
formulated by the reviewers, more izes an industrial workshop and the its roadmap process, and also through
in particular, increasing the industri- co-located cluster meetings in a new bilateral meetings with HiPEAC mem-
al involvement in HiPEAC, stimulat- member state. We hope that this event bers. We hope that this joint effort will
ing additional research interactions will help our colleagues in Poland to eventually lead to a better understand-
between the different research clusters get familiar with our network, to get ing of what is needed for the further
and task forces, and further stimu- involved and to start collaborations. development of the computing sys-
lating mobility through collaboration HiPEAC is strongly committed to build tems domain in Europe. Its conclusions
grants, internships and sabbaticals. stronger links with colleagues in the should also inspire future calls that
In July, more than 200 of us enjoyed new member states. will fuel our research. I hope to meet
the yearly ACACES summer school in Finally, there is the HiPEAC Conference, you at one of our coming networking
La Mola, Barcelona. The facilities were currently being organized by our Italian events,
stunning, the local organization and colleagues in beautiful Pisa, Italy. The
the courses were excellent, and the conference runs for three days in Take care,
participants were enthusiastic about January 2010, and it is preceded by a
the whole event. Our last minute very rich set of workshops and tutori- Koen De Bosschere

Gadgets could go greener with


Community News high-speed computer chip
significantly less power and taking up means of user-defined instruction set
less space than comparable devices. extensions (ISEs) - meaning that it can
Power consumption between 18 and be automatically customized for a par-
On June 01, 2009 the Processor 24-mW under heavy load has been ver- ticular application, and so is suitable for
Automated Synthesis by iTerative ified in the lab. This compares favoura- a variety of application domains. The
Analysis (PASTA) research group at the bly with 48-mW for an ARM Cortex M3 adaptability of the processor means
University of Edinburgh led by Prof. at the same technology node. The die that performance is not compromised
Nigel Topham, announced its first suc- area of EnCore is 0.15 square millim- by energy efficiency.
cessful silicon implementation of a new eter compared with 0.86 square mil-
and versatile microprocessor, using a limeter for the Cortex M3, and EnCore Multiple EnCore processors may be
generic 130-nm process. achieves 1.45-DMIPS/MHz compared used together, creating high-perform-
with 1.25 for the Cortex M3. ance multi-core systems for more
The microprocessor, known as EnCore, demanding applications.
delivers faster processing while using The microprocessor is configurable by

2 info20
Panos Tsarchopoulos
Panagiotis.Tsarchopoulos@ec.europa.eu

Message from the project officer


Following the evaluation of the last extended description language, will be performance
Call for Proposals, the European processed by applying newly developed and dynam-
Commission is currently negotiating static analysis and compiler transforma- ic resource
the start of one Support Action and tions. management
nine Research Projects (STREPs) in issues in vir-
Computing Systems representing 25 ENCORE aims to make multicore proc- tualized environments, as well as on-
million Euros of funding. essors with hundreds of cores pro- and off-loading tradeoffs.
grammable through a combination of
Support Action programming models, runtime man- PEPPHER aims to develop a platform-
The PLANETHPC Support Action will agement systems and resource/hard- agnostic, multicore-oriented software
establish a free-to-join network to ware support technologies. architecture that will provide a gen-
bring together the High-Performance eral-purpose compositional software
Computing community in order to EuroCloud plans to create low-power framework exploiting adaptive and
exchange knowledge, to identify processors integrating 3D DRAM for auto-tuning technologies to facilitate
shared and complementary goals, as very dense low-power server systems the programming of generic hardware
well as research challenges. Members targeted at mobile “cloud” services. platforms.
will enjoy an on-line forum, special EuroCloud targets 10 times improve-
interest groups, workshops etc. The ment in cost- and energy-efficiency PRO3D aims to develop a system soft-
project will contribute to the European compared to current state-of-the-art ware flow that can operate transpar-
research roadmap of HPC. servers. ently on parallel manycore platforms
including 3D stacked architectures and
Research Projects HEAP aims to develop an innovative develop formal methods for software
2PARMA focuses on the definition of toolset that helps software develop- design guaranteeing the composition-
a parallel programming model com- ers to profile and parallelise existing ality and correct operation of both
bining component-based and single- sequential applications. This is done by hardware and software.
instruction multiple-thread approach- exploiting top-level pipeline-style paral-
es, instruction set virtualization based lelism and a highly configurable cache The aim of REFLECT is to investigate a
on portable byte code and design architecture that can be tailored to an set of methodologies and approaches
space exploration methodologies for application by using profiling data. for the design of efficient FPGA-based
manycore computing fabrics. heterogeneous multi-core computing
IOLanes address inefficiencies associat- systems with a key issue being the
The aim of ADVANCE is a perform- ed with the I/O stack of multicore archi- use of aspect-oriented programming to
ance-directed software development tectures. The proposal targets perform- cover critical domain knowledge.
approach for multi-core hardware. ance and scalability issues of the I/O
Application specifications defined in an stack on multi-core architectures, I/O Panos Tsarchopoulos

The EnCore microprocessor’s architec- features of the


ture is compatible with the ARC600 EnCore proces-
family of processors from ARC sor, high-speed
International, with whom the univer- simulators at var-
sity has a long record of collaboration. ious abstraction
levels, and HW/
In order to support a variety of research SW testing and
areas addressed within the PASTA verification tools. Nigel Topham
project, the group has also developed
a number of software tools accompa- Project Lead: Nigel Topham
EnCore microprocessor
nying the EnCore processor. Among (npt@inf.ed.ac.uk),
the tools are a fully automated ISE mercial CoSy compiler development The University of Edinburgh,
design and compilation flow, an ener- framework, a GCC compiler based http://groups.inf.ed.ac.uk/pasta/
gy-aware compiler based on the com- on the ARC port targeting the special

info20 3
HiPEAC Activity

HyperTransport Tutorial at Stanford University


Jose Duato (UPV & HyperTransport Auditorium, Stanford University. Jose trends towards more scalable mul-
Consortium), Robert Safranek (Intel) Duato, on behalf of the HyperTransport tiprocessor platforms, including the
and Jasmin Ajanovic (Intel) present- Consortium and AMD, presented the recently announced High Node Count
ed a tutorial on System Interconnects main design goals and the different specifications. The three tutorial pres-
on Sunday, August 23, 2009, in the protocol layers for the HyperTransport entations were followed by a panel ses-
framework of the Hot Chips 21 confer- technology, as well as the main inno- sion where speakers answered ques-
ence that was held at The Memorial vations in generation 3 and current tions from the audience.

Rainer Leupers on his mini-sabbatical at ACE bv


RWTH Aachen University and ACE have piler framework. Due to its flexibility including attending the regular team
enjoyed a long-standing and successful and performance, CoSy has a unique meetings as well as joint customer
research partnership. Among the high- position in the market, and ACE is visits, which provided valuable insights
lights of previous collaborations there also engaged in various services and and allowed me to get to know the
is, for instance, the Compiler Designer side products around CoSy. However, team much better than before, also
(a product of HiPEAC member company no software tool is made for eternity. on a personal basis. Indeed, the best
CoWare Inc. that builds on ACE´s CoSy ACE has been thinking about how to way to improve the mutual under-
framework and the LISA processor shape the future of their products to standing with your industry partners
description language) which enables keep pace with important trends in and their respective operation modes,
the rapid generation of C compilers computer architecture, such as multi- behaviours, concerns, and constraints
for embedded processors. So, it is no core platforms. My role as an expert is to spend time with them! I strongly
surprise that I took the opportunity of in Electronic System Level (ESL) design encourage the HiPEAC community to
one of the first mini-sabbaticals funded was to consult on different directions also seek opportunities for similar mini-
by HiPEAC to reinforce the RWTH-ACE for this and provide fresh views from sabbaticals at interesting places and
relations via a three months research the EDA and hardware design perspec- teams. There are all too few companies
stay in Amsterdam during April-July tives. The major findings have been operating in the European tools arena
2009. In practice, this meant somehow summarized in a white paper that was and researchers in the HiPEAC commu-
reducing my duties as a professor to delivered to ACE. Among many other nity can certainly help stimulate activity
one day in the Aachen office per week by-products of the sabbatical there was and innovation here.
and significantly increasing the weekly also a joint software demonstration
mileage of my car. organized at the Design Automation Last but not least I should mention that
Conference in San Francisco in July. ACE is certainly a very special company
ACE´s flagship product is the well- to be with. Being successfully active
known CoSy system (see also HiPEAC In return, I was able to participate in the in the IT domain for 30+ years, they
Info-19), a versatile retargetable com- day-to-day operations of the company, combine a relaxed working atmos-
phere with a constant flow of innova-
tions, implemented via highly skilled
technical staff and seasoned manage-
ment. I experienced a great amount of
openness and hospitality, ranging from
early support in sabbatical logistics to
the waterborne farewell dinner on the
Amsterdam Grachten. In fact, every vis-
itor at ACE is received with open arms.
So even if you don’t plan to spend
three months there (which by the way
also means to survive on Dutch food),
R. Leupers among his ACE colleagues
you are encouraged to knock on their
(J. van Vlijmen, M. Schoorel, R. Leupers. M. De Lange, M. Roodzant) door to discuss any compiler issues.

4 info20
Community News

Newsletter spell checking transition


One of the important procedures in the whole newsletter production process is spell checking.
For many years Leigh Murray from the University of Edinburgh was taking care of this procedure
assuring the required quality of the HiPEAC newsletter. Starting from newsletter issue 20 Igor
Böhm will take over the spell checking duties from Leigh. Igor is a second year PhD student and
member of the Compiler and Architecture Design Group (CArD) at the University of Edinburgh.
Moreover he has been an active HiPEAC member during the past year. The HiPEAC community
would like to thank Leigh for her reliable service and welcome Igor to the newsletter produc-
tion team.
Igor Böhm

Joint Seminar: RWTH Aachen


HiPEAC Activity
University visits FORTH
The Institute of Computer Science
(ICS) of the Foundation for Research
and Technology Hellas (FORTH) invit-
ed the Institute of Integrated Signal
Processing Systems (ISS) of RWTH
Aachen University to a joint seminar.
The two HiPEAC partners met on June
1st, 2009 at FORTH near Heraklion.
The first presentations of the seminar
were given by FORTH about new
approaches for increasing perform-
ance and scalability of storage systems
as well as about parallel programming
of multi-cores.
Performance was also a main theme
in the presentations from Aachen,
which discussed two new tools target-
ed at MPSoC software development:
an accurate retargetable source code
profiler and a tool for early high-level
MPSoC software development. ing for the group from RWTH to see may evolve into joint project propos-
In the afternoon, the researchers from what might be the next generation of als. Thus, similar meetings of HiPEAC
FORTH talked about their work on hardware that has to be supported by research groups are highly encour-
merging cache and scratchpad com- design tools. On the other hand, the aged!
munications on multi-core architec- tools presented can facilitate research
tures and on explicit synchronization. of FORTH. Next to the exchange in
The second session by RWTH intro- research, the visitors from Aachen
duced Virtual Platforms using a case also enjoyed the cultural highlights of
study and showed how to use those Heraklion and a nice Greek dinner at
for early MPSoC design space explo- a traditional tavern together with ICS.
ration. Such joint seminars are a great oppor-
Although both groups are performing tunity to get to know different work-
research on different topics within ing approaches and cultures and can
the HiPEAC field, it was very interest- help to develop new ideas that finally FORTH building

info20 5
Community News

Ozcan Ozturk received the IBM Faculty Award


Ozcan Ozturk, assistant professor of Computer Engineering, Bilkent University,
was awarded the 2009 IBM Faculty Award. The IBM Faculty Awards program is
an annual worldwide competitive cash awards program that fosters collabora-
tion between researchers at leading universities and those in IBM research, devel-
opment, and services organizations. It also promotes courseware and curriculum
innovation to stimulate growth in disciplines and areas that are strategic to IBM.
Awardees are nominated by IBM employees and winners have an outstanding
reputation for contributions in their field.
Professor Ozturk received the prestigious award for his “Utilizing Heterogeneous
Ozcan Ozturk (third from the left) Chip Multiprocessors through Efficient Parallelization” research.
and other award recipients
Congratulations on this achievement!

ALaRI institute invites to attend


Announcement
Doctoral School on Complexity
Management in Embedded Systems
In 2008, the Swiss managing such systems arises. Only if the end of
Government launched such challenges are overcome will the the Autumn
the nano-tera.ch initiative, systems become actually viable. Not School stu-
centered on research, develop- only are systems envisioned intrinsically dents will
ment and application of micro- and very complex: beyond that, they are in receive a certificate recognizing their
nano-information technologies to most instances devised to interact with participation and demonstrating both,
embedded systems, networks and soft- the physical world, facing challenges project evaluation and ECTS credits
ware to support health, security and that go from the “modelling” aspects obtained.
environmental monitoring. of the phenomena they should deal
The intrinsic value of the underlying with to intrinsic non-determinism of The coordinator of the School is prof.
research is to bridge traditional disci- such phenomena. Mariagiovanna Sami (Politecnico di
plines, ranging from electrical engi- The Autumn School will last five days, Milano and University of Lugano). The
neering and computer/communication starting on November 16, 2009; faculty includes (but is not limited to)
sciences to micro- and nano-mechanical the location will be the University of prof. C. Alippi (Politecnico di Milano),
systems engineering, biomedical scienc- Lugano. Subjects discussed will include prof. R. Leupers (RWTH Aachen
es, etc., with the objective of deepening design complexity of systems on chip, University), prof. M. Pezzè (University of
the understanding of enabling technol- management of very complex – possibly Lugano), prof. M. Polycarpou (University
ogies and applying scientific concepts distributed - systems, where real-time of Cyprus), prof. Lothar Thiele (ETH
to practice, as well as of mastering the constraints have to be met, computa- Zurich).
novel challenges of engineering tera- tional complexity (with particular refer-
scale complex systems. ence to modeling), the problem of deal- While the School is designed for PhD
ing with uncertainty and the concept of students, other participants are wel-
The nano-tera initiative also foresees “probably approximate correct compu- come as well, as long as there will be
educational projects. COMES belongs tation”, control complexity, and various openings.
to this class of projects; its most rel- other specific design aspects of com-
evant offering is a doctoral school dedi- plex software systems. In depth discus- For further information please visit
cated to the core theme “Dealing with sions about various theoretical aspects www.alari.ch/COMES
Complexity in Embedded Tera-Systems: and analysis of case studies are also on Contact: Daniela Dimitrova
the facets of the problem”. In fact, the agenda. In order to allow students’ (daniela.dimitrova@usi.ch)
if technologies make it possible to evaluation, in particular for granting Timeline: November 16-20, 2009
create systems of tera-complexity, the ECTS credits to participating PhD stu- Location: University of Lugano,
challenge of designing, simulating and dents, projects will be assigned. After Switzerland

6 info20
In the Spotlight

9th International Forum


on Embedded MPSoC and Multicore (MPSoC 2009)
From the 2nd to the 7th of August in the
beautiful city of Savannah, Georgia, the
9th International Forum on Embedded
MPSoC and Multicore was held. The
unique structure of the forum allowed
for excellent presentations and inten-
sive face-to-face discussions between
world-class experts from industry and
academia.
MPSoC’09 forum hall in Savannah Torsten Kempf giving speech at MPSoC forum

With an attendance of more than 50 The assembly of researchers from both, working. The wonderful dinner at the
world-class speakers, the ninth event of industry and academia, at MPSoC’09 Savannah river made MPSoC’09 a con-
the MPSoC focused on research issues provides a great platform for guiding ference to be remembered.
yet to be mastered. The 5-day forum academia to the relevant design chal-
gave an impressive overview of present lenges the industry is facing today. In In a nutshell MPSoC’09 was a memo-
and expected future challenges in the turn, executives and senior managers rable and fruitful conference with its
topics of applications, software and are encouraged to explore new ideas unique character of in-depth discussion
hardware. Examples of the broad range and to rethink their strategies. and information exchange of research-
of topics are efficient hardware archi- ers from all over the world. I hope to
tectures for Software Defined Radios, Apart from the brilliant technical con- visit the next MPSoC and to meet you
3D chip stacking and the ubiquitous tributions at MPSoC’09, plenty of there.
quest for design space exploration of social events resulted in bringing peo- Torsten Kempf,
software and hardware. ple together in order to intensify net- RWTH Aachen University, Germany

New Members RuChip, Russian startup in Moscow


for search tasks. The company also
plans to develop a specialized searching
device consisting of a number of mul-
ticore processors placed on a mother
board, compatible with a search system
In July 2009, the HiPEAC Network data center’s infrastructure.
steering committee accepted Ru.Chip. The development is based on the fol-
Llc. as a new member of the HiPEAC lowing core technical approaches:
community. RuChip is a bootstrapping
A founder of RuChip Anton Gerasimov
fabless startup. 1. Embedded realization of the Nutch/
Hadoop platform, in which Map Reduce Each search microprocessor is expected
The core idea for this startup came algorithms will operate. Map Reduce to consume at least 10 times less power
from realizing current market needs in algorithms can be applied in many compared to conventional PCs with
developing energy saving technologies different applications including search equivalent performance, consequently
for global Internet search. systems. the use of a specialized search device
2. Processor power management will allow to decrease the costs of serv-
As an effort to tackle the problem of through switching off separate unused ers in data centers by several orders of
energy saving, Ru.Chip came up with an cores or lowering core operating fre- magnitude.
idea of a special purpose microproces- quency.
sor with ultra low power consumption 3. Embedded instruction customization Business model
and multicore architecture optimized algorithms. The new processor and the mother-

info20 7
New Members

board are supposed to be produced lished in 2008 by a group of IT special- Design Systems. Ru.Chip team mem-
in accordance with the fabless model ists and innovation managers. Initial bers have also acquired an extensive
implies no proprietary production line. financing was granted by the Russian experience from participating in a lot
Some core groups of future custom- Foundation for Assistance to Small of outsourcing software and hard-
ers for the developed products have Innovative Enterprises. ware design projects in US.
been identified: global and regional Ru.Chip is temporarily headquartered
Internet search systems; corporate and in Moscow. The core staff of the Contact: Anton Gerasimov
state data processing centers, corporate company has acquired their origi- (anton.gerasimov@ruchip.com),
search systems and other users. nal experience from semiconductor 123458, Russia, Moscow,
and software industry working for Tvardovskogo str. 8 building 1,
About RuChip world leading companies such as Office 608
Ru.Chip Llc. is a startup that was estab- STMicroelectronics and Cadence

HiPEAC Students Trip report: ACACES’09


This summer I attended the ACACES by Steve Furber described the ambitious and its acquisition by IBM, while the
2009 summer school. After the tragic SpiNNaker project. Its long-term goal audience was twittering on the second
earthquake hitting L’Aquila hard ear- is to build a huge system consisting of screen - set up at Alasdair’s behest.
lier this year, the organizers had gone tens of thousands of nodes equipped
to great lengths to find an alternative with ARM processors, being able to On Tuesday, the classes started to take
venue: the conference hotel La Mola simulate billions of neurons in real time. shape as the introductory material was
in Terrassa, near Barcelona, Spain. The Cylons, anyone? finished. I had my opinion of Paul
following is a brief report of the school McKenney (Real time in the Linux ker-
and the events surrounding it. The dinner (and all subsequent meals nel) confirmed: he made a fabulous
the following days) was in the form of impression on Monday and repeated
What had started out as a gorgeous a rich buffet, including cold and hot this on Tuesday; his was the class I
Sunday, turned sour when the flight dishes, and very tasty desserts. The enjoyed most. He was a good teacher,
some colleagues and I had reserved only drawback of this venue seemed not afraid to pose challenging ques-
a seat on to get from Brussels to to be the rather pricey beer at the bar, tions to his audience and to build on
Barcelona, was delayed. And delayed especially compared to the prices at the their answers. He also had the most
some more. After we finally boarded, previous ACACES venue in L’Aquila. awesome slide illustrations (drawn by
we were entertained for a fairly une- The classes started in earnest on his daughter, we learned), that real-
ventful flight. Once we regained solid Monday, with three parallel sessions, ly highlighted the key aspects Paul
ground under our feet, we decided of which I attended the WCET analysis tried to get across. The other classes
to try the public transportation, but course by Peter Puschner. Because I I took were on process virtualization
changed our minds when we saw the was the appointed photographer of by Kim Hazelwood, which was very
train schedule. A taxi brought us to the event by the organizer Koen De good, and taught by one of the few
La Mola quite swiftly - even though Bosschere, Monday included racing women who are active in computer
the driver was not sure of its location from classroom to classroom and get science (research), and the course on
initially. Immediately, the rather dismal decent shots of each teacher. Evidence performance analysis taught by Lieven
trip was made up for by the great look- of this effort can be observed at the Eeckhout, my former PhD advisor.
ing facilities, the awesome room and Flickr ACACES group (http://flickr.com/
the proximity of an outdoor swimming groups/acaces). In the evening, Koen On Wednesday, the afternoon was
pool. Not to mention the fast and free showed a number of pictures before reserved for the poster session.
WiFi. the invited talk on Monday evening Students could display their ongoing
given by Alasdair Rawsthorne, who (or starting) work on an A0 sized poster
The first evening is traditionally marked completed last years’ invited talk by and inform the inquisitive audience
by a keynote talk, followed by dinner. informing us how to get out of a star- of their approach and (hopefully) get
Both were excellent, and left nothing tup (alive). Alasdair gave quite a nice valuable feedback. I saw quite a lot of
else to desire. The keynote talk given talk, relating the story of Transitive people discussing and the poster I pre-

8 info20
HiPEAC Students

sented with Kenneth Hoste drew forth


Teaching team of ACACES School
a number of questions as well.

Thursday saw the classes go to a cli- flawlessly succeeded. Until the power
max, when the teachers disseminated was cut and we were left standing
the highlights of their course. Finally while repairs were underway. After the
on Friday, the courses were concluded successful restoration of electricity flow
with some more highlights and loads of to the guitars, mikes and amplifiers,
interesting information. I think I learned the (remaining) crowd danced some
quite a few things and refreshed some more and some of us took another dip.
others. Sadly, one cannot attend all Finally, at around 2 AM, the party died.
twelve courses: choices must be made. After some small talk with local Spanish
Hindsight is 20/20, but still I’d like to students, I retired to my room and nod-
have seen some of the other teachers ded off to the tunes of the wedding
as well. The (formal part of the) day party being held at the poster venue.
ended with Koen giving an overview Kim Hazelwood discusses Super Pin
of the school, inviting us for next year After two hours of sleep, I rose on
and thanking the teachers and speak- Saturday to share a taxi with several in their field and to get in touch with
ers. And of course Nacho Navarro, colleagues - our flight left too early for fellow students. This was the second
who took upon himself a large part in us to wait on the bus that would take ACACES summer school I attended and
helping to organize this year’s summer most attendants to the airport. Luckily once again, I thoroughly enjoyed it. The
school after the relocation was decided our plane was on time and it was with summer school is also a great way to
upon. much joy that I rushed to my wife and start collaborations and to get word of
two sons after landing at Brussels. your work out to people with similar
After all was said and done, Koen interests.
invited us for the group photo, the tasty To conclude, I can heartily recommend
barbecue and ... the pool party. At the attending the HiPEAC/ACACES sum- Andy Georges
pool, a live cover band was setting up mer school. It’s a great way to learn (andy.georges@elis.ugent.be),
to entertain us, a feat in which they new things from top notch experts Ghent University, Belgium

Collaboration Grant Report - Daniel Cabrera


focusing on increasing the ease of use figurable binary code (bit stream) hid-
of reconfigurable devices. ing all the complexities related with
device configuration, bit stream load-
Thanks to HiPEAC I had the chance to ing, data placement and movement to
join the team of Dr. Georgi Gaydadjiev the device memory.
at the Technical University of Delft
during three months. It was a great Furthermore we implemented a pro-
opportunity for me to improve my totype runtime system based on the
knowledge about reconfigurable devic- SGI RASC system in order to test
es and sharing my experiences with our extensions. Our runtime includes
other students about compilers and the following main features: (1) a bit
heterogeneous architectures such as stream cache and support for hybrid
the Cell/B.E. processor. computation. So the runtime system
I am a PhD student at the Technical avoids unnecessary configurations of
University of Catalonia (Spain) work- In this collaboration we focused on the FPGA device. This is done by keep-
ing with Dr. Daniel Jimenez-Gonzalez the problem of using FPGA devices ing the currently loaded bit streams in a
and Dr. Xavier Martorell. I work for for C applications. We extended the cache. In the case a bit stream has to be
the programming models group at OpenMP 3.0 task approach to run loaded (cache miss), the runtime over-
the Barcelona Supercomputing Center tasks on FPGAs. With our extensions laps the load and FPGA configuration
(BSC). My research topic is about pro- a programmer can easily express the with execution of the algorithm on the
gramming heterogeneous platforms offloading of an already existing recon- host processor, since the former can be

info20 9
HiPEAC Students

significantly expensive. (2) Transparent FPGA library interface is implemented Systems: Architectures, Modeling and
change of memory association. using threads in order to avoid the Simulation (IC-SAMOS), but still there
The runtime provides data packing application to be blocked during FPGA are many things to do. We are cur-
and unpacking when transferring data management operations. rently working on supporting several
between host and FPGA device, since FPGAs on SGI Altix Systems. Moreover
data transfer can be a bottleneck, Our proof-of-concept was successful our future working lines are the optimi-
and (3) a multithreaded FPGA library and is explained in “OpenMP exten- zation of data movements as prefetch-
interface. The runtime avoids any FPGA sions for FPGA accelerators” in the ing of data, new packing/unpacking
management operation if the opera- 2009 proceedings of the International techniques and partial runtime recon-
tion blocks application execution. The Conference on Embedded Computer figuration.

Collaboration Grant Report – Cecilia Gonzalez


There have been precedents of col- cally generate the code necessary to
laborations between TU Delft and the run the new instructions as accelerators
Computer Architecture Department at in the MOLEN processor. Part of that
UPC, that share common interests in generation is driven with the support
research of hardware accelerators and of DWARV, a toolset also developed
new architectures for scientific applica- in TU Delft that translates C code to
tions. VHDL code that fits on the customized
processor of MOLEN. The toolchain of
In this line of work, I went to Delft prototyping has been tested with the
to further develop my master thesis. bioinformatics application CLUSTALW.
There, I have been trained on some top- The tests show a speedup of up to
ics that would be basic for my research. 8.54x for a single accelerator, while the
Those topics included the analysis of whole application can get a benefit of
bottlenecks in bioinformatics applica- more than 2x of speedup.
tions, the identification of instruction
I am Cecilia Gonzalez and currently I set extensions, the use of FPGA-based The results of this collaboration have
am working on my PhD at the Technical architectures to evaluate application been presented at the 4th Workshop
University of Catalonia (UPC) and the accelerators and the use of tools for on Architectural Research Prototyping,
Barcelona Supercomputing Center automatic HDL code generation. held in conjunction with ISCA’09
(BSC). My advisors at UPC are Dr. in a paper entitled: Fast Evaluation
Daniel Jimenez-Gonzalez and Dr. Carlos I worked on a toolchain for rapid gen- Methodology for Automatic Custom
Alvarez, and my supervisor at BSC is Dr. eration of prototypes of accelerators Hardware Prototyping.
Xavier Martorell. that are identified with the analysis of
the dynamic behaviour of the applica- Although the stage had reached its end
My main topic of research is focused tions that we want to accelerate. In we have plans for future collaboration
on hardware accelerators for the bioin- order to evaluate these prototypes, we within the SARC project, to obtain
formatics field. Concretely, I am inter- have relied on MOLEN, a polymorphic accelerators of scientific applications.
ested in the automatic identification processor developed at TU Delft. The To continue the development of our
of instruction set extensions and their toolchain is divided in two main parts: toolchain of automatic generation of
automatic implementation in a special- the detection of ISA extensions and the accelerators we need the expertise of
ized processor. generation of hardware. In the detec- VHDL coders and hardware designers,
tion of ISA extensions, we have used and this is the part of our project where
Last year I received a grant from the Trimaran framework to profile the we base our next collaboration with TU
the HiPEAC Network to spend three application and extract possible candi- Delft. Likewise, people from our group
months, from April to June, in the dates for instructions extensions. Those contribute with the analysis of scientific
Computer Engineering department candidates are subsequently pruned applications that are useful for the engi-
of the Technical University of Delft, to suggest the final best set of new neers at Delft.
under the supervision of Dr. Georgi instructions. Besides, in the second
Gaydadjiev. part of the toolchain, we automati-

10 info20
HiPEAC Students

Collaboration Grant Report - Rafael Tornero


My name is the optimal NoC configuration cannot of path diversity. Then, the current
Rafael Tornero be found by addressing these problems mapping along with the routing tables
and I am a independently. and the values of MC and RI are col-
PhD Student lected in an archive. In the next step,
belonging to Before starting the collaboration, we the mapping function is modified and
the Networks knew that we wanted to jointly address another iteration is performed. When
and Virtual these problems. When the collabora- the stop criterion is satisfied, the result
Environments tion started, we had a meeting in which values are extracted from the archive.
Group (GREV) we decided how to achieve our goals.
of the University of Valencia, Spain. We decided to implement a multi- A complete description of our work
The GREV is part of the Advanced objective design optimization strategy can be found in the reference paper: A
Communication and Computer based on an evolutionary approach, in Multi-objective Strategy for Concurrent
Architecture (ACCA) Consortium. This which the objectives to be optimized Mapping and Routing in Networks on
Consortium, composed of a set of were the global communication delay Chip. The authors of the paper are
Groups each one belonging to a dif- and the fault tolerant properties of the Rafael Tornero, Valentino Sterrantino,
ferent Spanish university, is carrying NoC. Maurizio Palesi and Juan M. Orduña.
out a project whose main goal is to The paper has been presented at
significantly improve the performance We spent the rest of the time imple- the 12th International Workshop on
and reliability of current server archi- menting the design space exploration Nature Inspired Distributed Computing
tectures for data centers and Internet flow shown in the Figure. The inputs (NIDISC) held in conjunction with
servers, for a given cost and energy of the flow are the application model, the 23th IEEE International Parallel
consumption budget. In this project I a mapping from the cores of the appli- & Distributed Processing Symposium
focus on improving the performance of cation model to the NoC topology and (IPDPS) in May 2009, Rome, Italy.
on-chip interconnection architectures the network topology of the NoC. The Currently, we have submitted an
based on a Network-on-Chip (NoC) flow works as follows: in the first step, extended version of the paper to the
using communication-aware core map- routes between any pair of communi- International Journal of Foundations
ping methods. cating cores are obtained using APSRA. and Computer Science (IJFCS).
Once the bandwidth constraints of
Last year, I did a collaboration with the applica- Design space flow
the University of Catania, Italy with Dr. tion model
Maurizio Palesi from the Department are satisfied,
of Computer Engineering and the optimiza-
Telecommunications. The collaboration tion indexes
was supported by the HiPEAC Network are computed.
of Excellence under grant Cluster 1169. The Mapping
Coefficient
The main goal of the collaboration (MC) index
consisted of integrating the core map- is computed
ping technique for NoCs developed by using the same
the GREV group in the methodology approach as
for designing a routing algorithm for in the core
an application specific NoC (APSRA) mapping
developed by the group steered by technique
Dr. Palesi. developed
by the GREV
The application mapping and routing Group. The
strategy selection play an important Robustness
role in NoC design, since they have Index (RI) is
a big impact on the communications computed as
exchanged by the application(s) run- an extension
ning on the NoC Platform. Therefore, of the concept

info20 11
HiPEAC Students

Collaboration Grant Report - Mounira Bachair


My name is for program code size. Establishing I attempted to provide an optimiz-
Mounira Bachair. the fundamental relationship between ing compilation method that optimally
I am a PhD stu- code size and performance is still an reduces the code size of high perform-
dent at INRIA open problem in computer science, ance loops (software pipelined loops)
Saclay in Ile de even if several ad-hoc techniques with the mathematical guarantee of
France. At the have been developed in practice. non-loosing performance. The tech-
end of 2006, I Unfortunately, most of the existing nique is based on many fundamental
received a grant ad-hoc techniques are simple heuristics results that prove some of our asser-
from INRIA that make tradeoffs between code size tions. The different results from this
Saclay to do and performance whereas there does internship can be found in our publica-
my PhD studies not exist any relevant study that proves tion.
about code size that such tradeoff is mandatory.
minimization in I think that staying in a foreign labora-
embedded sys- A formal model of the relationship tory for three months is really exciting
tems within the ALCHEMY Group. I’m between speed and size of software- because one learns a lot from hearing
closely working with the assistant pro- pipelined code would be of great value other people’s points of view and other
fessor Sid-Ahmed-Ali Touati and the to the embedded software community. ways of working. It is also an excel-
research director Albert Cohen. Many published software pipelining lent way to determine if industry or
techniques under register, resource academic is the best career option to
High Performance Computing (HPC) and sometimes code size constraints pursue. Interns not only gain practical
techniques are increasingly used in claim experimental improvements. But work experience in a field that they
embedded systems (multimedia appli- nobody can really check the real effi- intend to pursue but also build experi-
cations, games, high-resolution print- ciency of such heuristics. However, if ence in international platforms.
ers, etc.). Decades of research in HPC we are able to give a formal way to
have brought significant gains for clas- compute optimal solutions, it would The HiPEAC network proposes great
sical HPC problems in scientific and be possible to compare all the existing opportunities for PhD students. I hope
numerical computing. For instance, techniques against the optimal solu- that in the future more students will
software-pipelining methods become tions and hence we could objectively benefit from these experiences.
commonly used in many of the best measure the efficiency of such meth-
optimizing compilers. However, current ods. As conclusion, I would like to thank
software pipelining techniques tend to HiPEAC for this wonderful experience
be focused on producing the fastest Thanks to a HiPEAC PhD grant I did and Dr David Gregg and his research
possible code rather than dealing with an interesting internship at Trinity group at Trinity College of Dublin
the specific constraints of embedded College of Dublin under the direction for their warm welcome and valuable
applications, such as limited memory of the assistant professor David Gregg. advice.

PhD News

Fault-tolerant Cache Coherence Protocols for CMPs


by Ricardo Fernández-Pascual We propose a new way to deal with of the cache coherence protocol so
(rfernandez@ditec.um.es) transient faults in the interconnection that it guarantees the correct execution
Advisor: network of future many-core CMPs that of programs even when the underly-
José Manuel García Carrasco is different from the classic approach of ing interconnection network does not
and Manuel E. Acacio Sánchez building a fault-tolerant interconnec- deliver all messages correctly. This way,
Universidad de Murcia, Spain tion network. In particular, we provide we can take advantage of the different
July 2009 fault tolerance mechanisms at the level meaning of each message to achieve

12 info20
fault tolerance with lower overhead previous work in FtTokenCMP. Finally, applications and their major cost is
than at the level of the interconnection the same ideas are used to design an increase in network traffic due to
network, which has to treat all mes- FtHammerCMP: a broadcast-based and acknowledgment messages that ensure
sages alike with respect to reliability. snoopy-like fault-tolerant cache coher- the reliable transference of ownership
ence protocol based on the cache between coherence nodes, which are
To demonstrate our approach, we coherence protocol used by AMD in sent out of the critical path of cache
design three fault-tolerant cache their Opteron processors. misses. The results also show that a
coherence protocols. First, we design system using our protocols degrades
FtTokenCMP, based on the token We evaluate these protocols using full- gracefully when transient faults actu-
coherence framework. Secondly, we system simulation. The results of this ally happen. Furthermore, we are able
design FtDirCMP: a directory-based evaluation show that, in absence of to support fault rates much higher than
fault-tolerant cache coherence proto- faults, our techniques do not increase those expected in the real world with
col with techniques inspired by the significantly the execution time of the only small performance degradation.

Implementations of Baseband Functions for Digital Receivers


by Perttu Salmela lem domain of implementing baseband description of the computation pos-
(perttu.salmela@tut.fi) functions includes both addressing the sesses enough flexibility. Especially,
Advisor: Prof. Jarmo Takala high computational complexity and the error correction decoding, matrix
Tampere University of Technology, describing the implementations in a decomposition, and symbol detection
Finland flexible way so that even complex algo- tasks of the baseband processing chain
August 2008 rithms can be used without extensive are targeted in this thesis. Both proces-
efforts. sor implementations and implementa-
With ever-higher data rates, the com- tions of assisting hardware units are
plexity of baseband processing increas- In this thesis, implementations and presented.
es basically for two reasons. Firstly, the implementation methods of baseband
required processing rate is proportional processing functions are proposed. As a result, the essential computational
to the bit rate and, secondly, with Computational complexity and flexibil- challenges and the design space of
higher data rates, more demanding ity of implementation are approached wireless receivers are clarified. The
and sophisticated algorithms must be with application-specific processors work in this thesis shows how the
applied. For example, new wireless (ASP) and the transport triggered archi- computation of the addressed base-
telecommunications systems like 3G tecture (TTA) has been used as an band functions can be implemented
long term evolution (LTE) can have a architecture template. The computing efficiently when a programmable plat-
100 Mbps data rate and multiple-input demands can be met with high paral- form is targeted. The results show that
multiple-output (MIMO) transmission lelism when parallelization of the target the benefits of the programmability do
methods are applied. Thus, the prob- algorithm is possible, and the software not sacrifice implementation efficiency.

Implementing Fine/Medium Grained TLP Support in Multi-core Architectures


by Nikola Puzovic grained Thread Level Parallelism (TLP) by tation in multi-core architectures.
(nikola.puzovic@gmail.com) using a hardware scheduling unit and The first case study is an implementa-
Advisor: Prof. Roberto Giorgi relying on existing simple cores (there tion of DTA support in the Cell proces-
University of Siena, Italy is no need for deep out-of-order pipe- sor. It shows that with small addition
September 2009 lines, branch predictors or ROBs). in hardware (around 2% increase in
The purpose of this thesis is to show storage size), scalability of the system
Future multi-core architectures should that DTA can be flexibly adapted to dif- is near to ideal and execution time
support a simple and scalable way to ferent scenarios (from a standard Cell of several simple kernels is improved
execute many threads that are gener- processor to more complex ScalAble (execution time is shortened between
ated by parallel programs. A good aRchiteCtures, such as those envisioned 3%-58%), while avoiding the burden
candidate to implement an efficient in the SARC project) and efficiently of specific programming models.
and scalable execution of threads is the include DMA-based prefetching mecha- The second case study is an implemen-
Decoupled Threaded Architecture (DTA) nisms. Therefore, this thesis presents tation of DTA support in the SARC archi-
that is designed to exploit fine/medium three case studies of a DTA implemen- tecture where it interacts with other

info20 13
PhD News

architectural components designed based prefetching mechanism that memory. It is shown that this mecha-
from scratch in order to address the complements the DTAs’ preload mech- nism can greatly improve the execution
problem of scalability. anism in order to achieve non-blocking time for several simple kernels (e.g. 13x
The third case study presents a DMA- accesses to global data stored in main in the case of matrix multiply).

Multithreaded Dataflow in Tiled Architectures


by Zdravko Popovic In this thesis, the evolution of an archi- tions with enough thread level paral-
(popovic@dii.unisi.it) tectural solution that employs both lelism. The applicability of DTA for real
Advisor: Prof. Roberto Giorgi of these concepts, tiling and data- world problems is shown with the par-
University of Siena, Italy flow multithreading, will be presented. allelization of a widely used video cod-
September 2009 The architecture is called Decoupled ing standard (H.264 de-blocking filter).
Threaded Architecture (DTA). It clusters At the end, a compilation tool chain
Multi and many core architectures are resources and uses a hardware sched- that produces parallel code for this and
now widely used. Tiled architectures uler to efficiently distribute threads other architectures that share dataflow
are easing design of the multi core among processing elements in order to multithreading concepts is studied.
architectures since they just replicate achieve good scalability and perform-
smaller tiles on a chip. The exploita- ance. The DTA architecture is proposed as a
tion of multi-core systems for parallel solution for some future multicore sys-
processing led to the reviving of the Various tests will be presented in order tems aimed to efficiently exploit thread
dataflow paradigm, this time dataflow to demonstrate that the architecture level parallelism.
at the thread level. scales and performs well for applica-

High-performance Visual Stimulation System for use in Neuroscience


Experiments with the Blowfly
by Mario A. Gazziro stimulate the vision of invertebrates, We have developed a new architec-
(mariogazziro@gmail.com) by reading signals from the H1 neu- ture to integrate video memory with
Advisor: Jan Frans Willem Slaets ron of the fly. The developed system the scanning system, where a bigger
(University of Sao Paulo, Brazil) makes use of reconfigurable hard- image is sampled at two rates. The
and João Manuel Paiva Cardoso ware technology (FPGA), generating two images generated are showing
(University of Porto, Portugal) images of 640x480 pixels with 256 separated frames in time, accord-
August 2009 levels of intensity at a rate of 200 ing with the stimulus to be pre-
frames per second for convention- sented. This generates a visual effect
This work describes the development al tube monitors. These images are more sensitive to displacement that is
of a system for generating visual dynamically displaced horizontally in very useful for experiments in neuro-
stimuli to be used in experiments to order to generate the desired stimuli. science vision.

The TFLUX Platform: A Portable Platform for Data-driven Multithreading on Commodity


Multiprocessor System
by Kyriakos Stavrou of execution, namely Data-Driven underlying machine allowing different
(email.tsik@gmail.com) Multithreading (DDM), to its users hardware configurations to support
Advisor: Paraskevas Evripidou using commodity components (i.e. its model of execution transparently to
and Pedro Trancoso unmodified operating system, compiler the programmer.
University of Cyprus, Cyprus and ISA hardware) making it applicable
June 2009 to off-the-shelf systems. TFlux provides The user of TFlux can develop applica-
a complete solution from the program- tions using a set of simple but powerful
This work presents the TFlux (Thread ming toolchain to the hardware imple- compiler directives. Then the TFlux-C-
Flux) Parallel Processing Platform, a mentation. Preprocessor converts this code to an
complete system that offers an effi- The abstraction layer TFlux exports to ANSI C program that includes runtime
cient dataflow-like thread-based model its users hides all the details of the support for TFlux and all calls to the

14 info20
PhD News

system’s scheduler. This code can be to systems that offer the ability to aug- applications with complex dependency
compiled with a commodity C compiler ment the machine with a small hard- graphs, compared to traditional paral-
resulting in a binary that is executable ware module while TFluxSoft is directly lel programming model approaches.
by any commodity operating system applicable to any existing, off-the-shelf
and processor. The layered design of system. Overall, TFlux is a platform character-
TFlux has been tested on different ized by four key components: (1) it
Unix-based multiprocessor systems. For the applications of the evaluation can be programmed using a specially
Moreover, this design enabled the port- suite, TFlux implementations show developed tool chain; (2) it virtualizes
ing of TFlux to different machines with remarkable speedup and scalability. the details of the underlying machine
minimum effort. Although for most applications the which allows the applications to run
performance of the two implementa- on different TFlux implementations
In this work, two TFlux implementations tions is close, TFluxHard shows an without any modification; (3) it is
are presented: TFluxHard and TFluxSoft. advantage over TFluxSoft arising from easily portable to systems that differ
For TFluxHard the Thread Scheduler offloading the Scheduler’s functional- significantly compared to the original
is implemented as a hardware unit ity to the hardware module. In addi- design and (4) it delivers high perform-
whereas for TFluxSoft, the Scheduler’s tion, the experimental results show ance through its dataflow-like Thread
functionality is provided at the software that both implementations of TFlux scheduling scheme.
level. As such, TFluxHard is applicable are able to exploit more parallelism for

On the Road towards Robust and Ultra Low Energy CMOS Digital Circuits Using Sub/Near
Threshold Power Supply
By Yu Pu (Y.Pu@tue.nl) put using architectural-level parallel- each DCT and Quantization engine
Advisor: Prof.dr. Jose Pineda de ism. Several physical-level techniques dissipates only 0.75pJ per cycle with
Gyvez and Prof.dr. Henk Corporaal are also proposed to mitigate yield a 0.4V supply at 2.5MHz frequency,
TU Eindhoven, The Netherlands loss due to process variations, such as which leads to 8.3X energy reduction
September 2009 balancing VT of n/pMOS transistors, compared to using the 1.2V nominal
using VT mismatch between parallel supply. In the near-threshold, each
This thesis presents our research work transistors to improve driving capabil- engine dissipates only 1.0pJ per cycle
in design of robust near/sub-threshold ity, selecting and modifying standard with a 0.45V supply at 4.5MHz fre-
CMOS digital circuits. While previous cells, etc. These ideas are demon- quency, but the system throughput
research uses ultra-low voltage oper- strated using SubJPEG, a state-of-the- still meets the VGA standard require-
ation only for low-throughput appli- art 65nm CMOS standard VT JPEG ment for 15 fps 640×480 pixel.
cations, we achieve medium through- co-processor. In the sub-threshold,

A Study of Spilling and Coalescing in Register Allocation as Two Separate Phases


by Florent Bouchez they proved NP-complete. So, there Our first goal was to better understand
(florent.bouchez@gmail.com) is no exact way in this model to tell from where the complexity of register
Advisor: whether some spilling is necessary or allocation comes, and why SSA seems
Alain Darte and Fabrice Rastello not, and if it is, what to spill and where. to simplify the problem. We came back
Université de Lyon, France to the original proof of Chaitin et al.,
April 2009 Recently (2004), three teams discovered finding that the difficulty comes from
The goal of register allocation is to that the interference graph of a pro- the presence of (critical) edges and
assign the variables of a program to gram under Static Single Assignment the possibility to perform permutations
the registers or to spill them to memory (SSA) is chordal. Hence, coloring the of colors. We studied the spill prob-
whenever there are no registers left. graph becomes easy using a simple lem under SSA and several versions of
The latter should be kept minimal since elimination scheme. Our hopes were the coalescing problem. The general
access to memory is much slower than that the spilling and coalescing might cases were proven NP-complete but we
to registers. In 1981 Chaitin et al. mod- also get easier to solve, as we now have found one polynomial result: incremen-
eled register allocation as an interfer- an exact coloring test. tal coalescing for programs under SSA.
ence graph coloring problem, which We used it to design new heuristics to

info20 15
PhD News

better solve the coalescing problem, so we devised a heuristic, called “permu- gated results, our better coalescing
that aggressive splitting can be used tation motion,” that is intended to be allowed us to cleanly separate regis-
beforehand. used with SSA-based splitting in place ter allocation into two independent
of our more aggressive coalescing in a phases: First, spilling to reduce register,
This coalescing performs well in an JIT context. possibly by splitting a lot; Then color
aggressive compiler. However, the high the variables and perform coalescing
number of splits and the increased All those results led us to promote to remove most of the added copies.
compilation time required is prohibitive a better register allocation scheme.
for just-in-time (JIT) compilation. So, While previous solutions gave miti-

Upcoming Events
22nd International Conference for High Performance Computing, Networking, Storage and Analysis (SC’2009)
November 14–20, 2009, Portland, USA, http://staff.science.uva.nl/~delaat/sc09/

16th IEEE International Conference on High Performance Computing (HiPC’2009)


December 16 – 19, 2009 Kochi(Cochin), India, http://www.hipc.org/

Asia and South Pacific Design Automation Conference 2010 (ASP-DAC 2010)
January 18-21, 2010, Taipei, Taiwan, http://www.asp-dac.itri.org.tw/aspdac2010/index.html

5th International Conference on High Performance and Embedded Architectures and Compilers (HiPEAC 2010)
January 25-27, 2010, Pisa, Italy, http://www.hipeac.net/conference

The Design, Automation and Test in Europe conference (DATE’10)


March 8-12, 2010, Dresden, Germany, http://www.date-conference.com/

International Conference on Compiler Construction (CC 2010)


March 20-28, 2010, Paphos, Cyprus, http://www.cs.ucr.edu/~gupta/CC%202010.htm

8th Annual IEEE/ACM International Symposium on Code Generation and Optimization (CGO 2010)
April 24-28, 2010, Toronto, Ontario, Canada, http://www.cgo.org

47th Design Automation Conference (DAC 2010)


June 14 - 18, 2010, Anaheim, CA, USA, http://www.dac.com/

37th Annual International Symposium on Computer Architecture, 2010 (ISCA 2010)


June 19-23, 2010, Saint-Malo, France, http://isca2010.inria.fr/

Contributions
If you are a HiPEAC member and would like to contribute to future HiPEAC newsletters,
please contact Rainer Leupers at leupers@iss.rwth-aachen.de

HiPEAC Info is a quarterly newsletter published by the HiPEAC Network of Excellence,


funded by the 7th European Framework Programme (FP7) under contract no. IST-217068.
16 info 20
Website: http://www.HiPEAC.net
Subscriptions: http://www.HiPEAC.net/newsletter

Transactions on HiPEAC, http://hipeac.net/journal

You might also like