You are on page 1of 7

952 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO.

4, APRIL 2003

Extension and Source/Drain Design for


High-Performance FinFET Devices
Jakub Kedzierski, Member, IEEE, Meikei Ieong, Senior Member, IEEE, Edward Nowak, Thomas S. Kanarsky,
Ying Zhang, Ronnen Roy, Diane Boyd, David Fried, and H.-S. Philip Wong, Fellow, IEEE

Abstract—Double gate devices based upon the FinFET archi- ferent in a FinFET and therefore require modified integration
tecture are fabricated, with gate lengths as small as 30 nm. Partic- schemes. For example, the extension region in a FinFET has
ular attention is given to minimizing the parasitic series resistance. a normal that lies in the wafer plane, orthogonal to the wafer
Angled extension implants and selective silicon epitaxy are investi-
gated as methods for minimizing parasitic resistance in FinFETs. normal, and the conventional extension implant vector. This
Using these two techniques high performance devices are fabri- geometric difference suggests that highly angled implants
cated with on-currents comparable to fully optimized bulk silicon would be effective in forming uniform extension regions.
technologies. The influence of fin thickness on device resistance and The source/drain region also requires re-engineering. In the
short channel effects is discussed in detail. Devices are fabricated simplest form, as shown in Fig. 1, the FinFET lacks the equiv-
with fins oriented in the 100 and 100 directions showing dif-
ferent transport properties. alent of a deep source/drain region that makes the formation of
low resistance silicide contacts possible. Low resistance con-
Index Terms—(110) transport, double gate, extension doping tacts are still possible by using low barrier silicides [6], or by
gradient, extension resistance, external resistance, FinFET, orien-
tation dependent transport, raised source drain, silicon epitaxy, thickening the fin outside of the gate region with a selective de-
thin body, transistor scaling, ultra-thin body, undoped body. position, such as silicon epitaxy. However, both silicon epitaxial
regrowth of the source/drain regions and low barrier silicides
ideally require well-formed spacers, which separate the fin from
I. INTRODUCTION the gate but leave the side of the fin accessible.
In this paper, highly angled extension implants together
T HE difficulties in shrinking the size of the traditional bulk
transistor have prompted the development of a new de-
vice architecture in which two gates, one on each side of the
with epitaxial raised source/drain (RSD) are shown to yield
high performance FinFET devices. Issues relevant to high
body, are used per device. Such double-gate transistors have performance FinFET design including: extension resistance,
higher scalability than their single gate counterparts since both fin epitaxy, spacer formation, silicide, fin orientation, and
gates help to control the potential in the body [1]. From sev- short-channel effects are discussed.
eral double-gate device architectures the FinFET [2]–[5] has
emerged as a promising device structure. It combines the critical II. PROCESS CONSIDERATIONS
elements of superior scalability found in all double-gate devices The critical steps in the FinFET fabrication process included
with the manufacturability of conventional transistors. The body sequentially: fin formation, gate stack formation, extension
of a FinFET device, shown in Fig. 1, consists of a vertical crystal implant, spacer formation, epitaxial raised source/drain, deep
silicon wall, called a fin. The gate wraps around both sides of the source/drain implantation, and silicide. The fin can be formed
fin, creating a channel on each side. The main advantage of the using spacer image transfer (SIT) [7], [8], or by using trimming
FinFET structure over other double-gate device designs is that techniques such as resist ashing to define fins using optical
the self-aligned gates can be fabricated using a single lithog- lithography. In this work the latter approach was used due
raphy and etch step. to its simplicity and the ability to yield a wide range of fin
One of the central challenges in making FinFETs competitive thickness on a wafer. However it is likely that in order to
with conventional transistors is enabling high current drive achieve the fin thickness tolerances and pitch values required
by reducing parasitic series resistance. The device regions for manufacturing a SIT process would be required. It is
that contribute to series resistance: the extension region, the possible to form FinFETs with the (100) oxide orientation on
source/drain region, and the silicide are geometrically dif- a (100) wafer with the notch in the direction, but such
a process requires the fin be rotated by 45 from the standard
lithographic orientation. If no such rotation is performed the
Manuscript received July 17, 2002; revised December 12, 2002. The review
of this paper was arranged by Editor R. Shrivastava. gate oxide forms on the (110) plane. In both orientations the
J. Kedzierski, Y. Zhang, R. Roy, and H.-S. P. Wong are with the IBM current carrying direction is a normal to a plane in the same
T. J. Watson Research Center, Yorktown Heights, NY 10598 USA (e-mail: family as the gate oxide surface. Both and directed
jakub@us.ibm.com).
M. Ieong, T. S. Kanarsky, and D. Boyd are with the IBM Microelectronics FinFETs were fabricated in this study. Fins were formed by
Semiconductor Research and Development Center (SRDC), Hopewell Junction, reactive ion etch (RIE) using a silicon dioxide hard-mask, from
NY 12533 USA. a 65 nm thick silicon on insulator (SOI) layer.
E. Nowak and D. Fried are with the IBM Microelectronics Division, Essex
Junction, VT 05452 USA. Gate oxide growth was preceded by a sacrificial oxidation
Digital Object Identifier 10.1109/TED.2003.811412 to repair the RIE damage to the fin [3]. In this study the gate
0018-9383/03$17.00 © 2003 IEEE
KEDZIERSKI et al.: EXTENSION AND SOURCE/DRAIN DESIGN FOR HIGH-PERFORMANCE FINFET DEVICES 953

Fig. 1. On right, the diagram of the skeleton FinFET structure with gate, source, and drain pads. On left, the 3-D view of one quarter of the FinFET structure
after spacer etch but before raised source/drain.

h i
Fig. 2. Electron micrographs of a 110 FinFET perpendicular to current flow. The most zoomed out image is a SEM micrograph, it is followed by two TEM
micrographs showing the Fin cross section and gate oxide thickness, respectively. Measurements: T = 20 nm, T = 1:6 nm, T = 150 nm, and W =
2
130 nm (2 Fin Height).

oxynitride was thermally grown to a thickness of 1.6 nm, and a Following the lithography and gate etch the extension regions
polysilicon gate was deposited to a thickness of 150 nm. Fig. 2 were implanted. High tilt angle, low energy implants were used
is a cross section taken through the fin perpendicular to the cur- to uniformly dope the extension regions. The extension resis-
rent flow that shows the gate stack dimensions on a 20 nm thick tance in a FinFET is not only a function of implant energy, dose,
fin. In this experiment the gate was doped with ion implanta- and angle but also of the fin thickness . In order to deter-
tion prior gate lithography. Gate predoping allows lower thermal mine the dependence of the extension sheet resistance on ,
budgets to be used for extension and source/drain activation. two sets of FinFET devices were fabricated with a wide range
954 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 4, APRIL 2003

Fig. 3. A graphical demonstration of the R extraction method. Two devices


with different extension lengths, L , but the same T are measured. The total
series resistance, R , is obtained for each device as the resistance limit with
V !1 . The extension resistance per square is given by the formula, with the
R test structures having L of 2 m, and R pad structures having L of
200 nm. H is the fin height.

h i
Fig. 5. SEM cross section profile of the RSD region of a 110 FinFET.
Regions are delineated with lines due to poor contrast. The unusual faceting is
likely due to the slow growth rate of the (113) planes. Chrome is deposited on
RSD to provide SEM contrast.

Fig. 4. Extension resistance, R in Ohms/Square of extension, as a function


of dopant type and Fin thickness. Extension resistance is calculated as twice the
fin resistance in Ohms/Square. The factor of 2 is used to account for the fact that
each fin has to carry twice the current of an extension in a bulk device; therefore
Fig. 6. Selective silicon epitaxy growth rates as a function of fin doping
in effect there are two extensions per fin, one for each channel. The previous
and orientation. Growth rates were measured on fins approximately 25 nm in
figure shows the exact method used for R extraction.
thickness.

of values. One set had 2 m long extension regions on ei- Fig. 1 shows a 3-D process simulation of the completed spacer
ther side of the gate (Test Set), while the other had only 200 nm after the etch.
long extensions (Pad Set). Both sets were gated identically, with Selective epitaxy was used to increase the fin thickness in
gates less than 150 nm in length, and shared identical contacts. the regions outside of the spacer. These thickened source/drain
Extension resistance was extracted as difference in the limits of regions, often called raised source/drain (RSD) [9], [10], help
the total device resistance as , between a device in the to decrease overall parasitic resistance by providing more sil-
test set and the pad set with a matched , normalized by the icon for the source/drain silicide formation. In our experiment,
difference in their extension lengths. The resistance extraction the silicon deposition rate during selective epitaxy was a func-
process is shown in Fig. 3, on a sample test structure. Fig. 4 tion of the crystal orientation of fin as well as the fin doping.
shows the extension resistances for both NMOS and PMOS de- Some of the complexities in the epitaxy process can be seen
vices as a function of , the fin thickness. The measured ex- from the cross section of the source/drain areas after RSD of a
tension resistance was found to increase sharply for smaller directed Fin, shown in Fig. 5. Due to the crystallographic
especially in the nFET. This increase is likely due to the combi- deposition rate dependencies the RSD region is not square but
nation of dopant loss and increased amorphization extent. trapezoidal. Fig. 6 shows the dependencies of the lateral silicon
Following extension formation, spacers were formed using 70 growth rate on doping for both and directed fins.
nm of deposited nitride. To increase the effectiveness of raised Growth rates are always higher on surfaces, and are typ-
source/drain the spacers were etched completely away from the ically slower on As doped fins. Fig. 7 shows a FinFET
sides of the fin. Such a spacer process sets a limit on fin height. before and after selective epitaxy, the before inset also shows
In order to remove the spacer from the fin and still leave an the top down view of the spacer.
effective spacer between the fin and the gate the fin height must Deep source/drain implants were performed at 0 tilt, doping
be significantly smaller than the gate height. In this experiment, the RSD regions. After source/drain activation, CoSi was
the polysilicon gate height was roughly twice the fin height. formed on the gate, and the source/drain areas. Co thickness was
KEDZIERSKI et al.: EXTENSION AND SOURCE/DRAIN DESIGN FOR HIGH-PERFORMANCE FINFET DEVICES 955

Fig. 9. V of FinFET NMOS devices in terms of L =T ratio. T varies


from 20 nm to 90 nm; L varies from 30 nm to 120 nm. Long channel V is
shown on the right.

Fig. 7. Top down SEM micrograph, of h100i FinFET before and after selective
Si epitaxy. Device measurements: T = 20 nm, L = 30 nm, 55 nm of
silicon was grown selectively on each side of the fin.

Fig. 10. Swing of FinFET NMOS devices in terms of L =T ratio. T


varies from 20 nm to 90 nm; L varies from 30 nm to 120 nm. Minimum
swing for V = 0:1 V and 1.5 V is shown.

and a certain . This can be used to define the ,


Fig. 8. Swing contours for FinFET NMOS devices, V = 0:1 V. Fin thickness the extension region overlap with the gates. A natural coordinate
T varies from 20 nm to 82.5 nm in steps of 12.5 nm, physical gate length, L
varies from 70 nm to 157.5 nm in steps of 12.5 nm. Contours are extrapolated system for short channel effects (SCE) of double gate devices
with dotted lines to a common origin, at (L ; T ) = (0; 0). can be constructed by defining

adjusted so that the final CoSi thickness was approximately


half the RSD region. In order to investigate the performance
Devices with a similar will have similar SCE pro-
impact of the extension resistance, RSD, and silicide devices
vided that , and the junctions are abrupt.
were probed at each of these steps.
The relation can be obtained rigorously by expanding the
modified scale length [11]
III. ELECTRICAL RESULTS AND DISCUSSION to the second order; the higher order corrections were small
To facilitate a fair comparison with single-gate MOSFETs, for devices presented in this study. Figs. 9 and 10 show
FinFET currents were normalized by the effective device width roll off and swing characteristics of devices with different
using fin height . The normalization by and in terms of their ratio. In order to maintain
2 accounts for the fact that a FinFET, being a double-gate must be larger than 1.3.
device, has two channels per fin, each contributing to the device and curves of devices with
capacitance. Due to the use of an undoped body and polysilicon nm, nm are shown in Figs. 11–13.
gates the of the FinFET devices fabricated in this experiment Fig. 11 shows characteristics of NMOS and PMOS
was approximately 0.5 V lower than desirable for CMOS appli- devices without RSD. Devices with of 10 nm and 20 nm
cations. To compensate for the low the gate voltage range is show linear swings of 75 mV/dec and 90 mV/dec respectively.
shifted by 0.5 V in the plots and when reporting and Thinner fins show lower due to higher external resistance.
, but is kept at 1.5 V. Fig. 12 shows the characteristics of devices with
Fig. 8 shows the subthreshold swing contours of 48 NMOS and without RSD. Reducing the devices external resistance
devices with six different fin thickness and eight different with RSD increases without increasing . In this ex-
physical gate lengths . Due to the boundary conditions at periment the RSD devices had a slightly modified activation
the gates and the extensions, the extrapolated contours merge at anneal to help reduce boron diffusion and produce symmetric
a point. As expected that point occurs at , PFET/NFET . Fig. 13 shows the characteristics of
956 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 4, APRIL 2003

Fig. 11. I 0 V plot for L = 30 nm T = 20 nm, and 10 nm, FiIIFET h i h i


Fig. 14. Transconductance (G ) of 100 and 110 directed fins. Long
devices. N-poly gate was used for NMOS, P-poly for PMOS. Devices shown in h i h i
channel NMOS 100 FinFETs have higher G than 110 FinFETs. Long
this figure have no RSD. h i h i
channel PMOS 100 FinFETs have lower G than 110 FinFETs. Similar
trends persist for short channel devices.

Fig. 12. I 0 V plot for L = 30 nm T = 20 nm, FinFET devices with


and without RSD. The source/drain formation process was modified for devices
with RSJ) to reduce boron diffusion from the source/drain areas into the body.
Fig. 15. Contour plot of I at V = 1:5 V for PMOS FinFETs as a function
of fin thickness (T ) and gate length (L ). Gate voltage range was shifted
for each device so that I is fixed at 200 nA=m.

Fig. 14 shows the extrinsic transconductance of


and devices. In general, the performance of de-
vices is lower for the NFET and higher for the PFET, and the
performance difference in long channel devices is larger than
the difference in short channel ones. Due to the presence of sili-
cide in these devices the values of reported here are more
reflective of inherent differences between the two orientations
than values published without silicide [4].
Both and increase as increases, increases be-
Fig. 13. I 0 V plot for short channel, L = 30 nm, T = 20 nm, 100 h i cause extension resistance and the threshold voltage decrease,
directed FiIIFET devices without RSD, with RSD, and with RSD and suicide. increases because of the increased short channel effects.
0
NFET V varies from 0.5 V to 1.0 V in steps of 0.25 V. One way of comparing different devices is to compare the
for the same . For FinFETs with different and the
devices with and without RSD, and with and without silicide. can be selected so that it gives a constant , for ex-
At V ( for PMOS,—for NMOS) and ample, 200 nA m at , then can be extracted with
V, m, for both the NFET and V and V. A contour plot can be con-
PFET. At V and V, is 1460 structed comparing extracted in such a way for devices with
A m for NFET and 850 A m for PFET, in devices different and . Fig. 15 shows such a plot for directed
with RSD and silicide. This to our knowledge is the highest PMOS devices. The maximum for nA m oc-
current reported for NMOS and PMOS double-gate devices, curs at nm and nm, and is equal to 930
and is competitive with state-of-the-art bulk silicon and SOI A m. falls when is too large due to short channel ef-
technology [12], [13]. Without RSD current levels are lower, fects, and when is too small due to parasitic resistance, for
with of 675 A m for NFET and 370 A m for PFET. long channel devices falls off due to increased channel resis-
Silicide makes a much larger difference in NFETs performance tance. The maximum occurs when channel resistance, parasitic
probably because the RSD thickness on N-doped fins is resistance, and short channel effects are balanced to give the
significantly thinner. highest performance. Decreasing parasitic resistance, in partic-
KEDZIERSKI et al.: EXTENSION AND SOURCE/DRAIN DESIGN FOR HIGH-PERFORMANCE FINFET DEVICES 957

with fins in the direction have higher NMOS transcon-


ductance than FinFETs with directed fins, and tend to
have higher selective silicon epitaxy growth rates. FinFETs with
fins in the direction, have higher PMOS transconduc-
tance. Short channel effects of undoped body FinFET devices
can be easily expressed in terms of an electrical channel length
and electrical fin thickness , devices with a similar
ratio were found to have similar short channel effects.

ACKNOWLEDGMENT
The authors would like to acknowledge the fabrication
Fig. 16. I 0 I plot for L = 30 nm PMOS FinFETs, without extension
support received from the facilities of the Semiconductor Re-
implants. T is varied. Source/drain junctions were annealed repeatedly to until
a maximum I for a fixed I was reached. search and Development Center (SRDC), as well as the support
received from the Advanced Semiconductor Technology Lab
ular the extension resistance, would increase the maximum (ASTL) for critical steps, in particular ion implantation support
and lower the and at which it occurs. from P. Saunders, and epitaxy support from J. Newbury. The
When a similar contour plot is constructed for the authors would also like to thank W. Haensch and J. Warlaumont
NMOS devices the maximum for nA m for their management support, and R. Young for his process
occurs at nm and nm, and is equal to 1200 simulation support.
A m. This maximum is further from the design point of
nm than in PMOS devices indicating that the series REFERENCES
resistance in thin fins is more seriously degrading NMOS per- [1] H.-S. P. Wong, D. J. Frank, P. M. Solomon, C. H.-J. Wann, and J. J.
formance. The same conclusion can be reached by looking at Welser, “Nanoscale CMOS,” Proc. IEEE, vol. 87, pp. 537–70, Apr.
the extension resistance values extracted on test devices shown 1999.
[2] D. Hisamoto, W.-C. Lee, J. Kedzierski, E. Anderson, H. Takeuchi, K.
in Fig. 16. For highest performance optimizing the junction Asano, T.-J. King, J. Bokor, and C. Hu, “A folded-channel MOSFET
overlap is also required, lower overlap increases the for for deep-sub-tenth micron era,” in IEDM Tech. Dig. 1998, 1998, pp.
the same , thus lowering and . The optimization 1032–1034.
[3] X. Huang, W.-C. Lee, C. Kuo, D. Hisamoto, L. Chang, J. Kedzierski,
of the overlap was not performed in this experiment, however E. Anderson, H. Takeuchi, Y.-K. Choi, K. Asano, V. Subramanian, T.-J.
the influence of changing , and the source doping gradient King, J. Bokor, and C. Hu, “Sub 50-nm FinFET: PMOS,” in IEDM Tech.
was investigated by fabricating very short channel PMOS Dig., 1999, pp. 67–70.
[4] J. Kedzierski, D. Fried, E. Nowak, T. Kanarsky, J. Rankin, H. Hanafi,
devices with nm without the use of an extension W. Natzle, D. Boyd, Y. Zhang, R. Roy, J. Newbury, C. Yu, Q. Yang,
implant. Source/drain regions were formed after RSD by P. Saunders, C. Willets, A. Johnson, S. Cole, H. Young, N. Carpenter,
conventional implantation along the wafer normal. The source D. Rakowski, B. Rainey, P. Cottrell, M. Ieong, and H.-S. P. Wong,
“High-performance symmetric-gate and CMOS-compatible Vt asym-
drain junctions were then annealed until a maximum at a metric-gate FinFET devices,” in IEDM Tech. Dig., 2001, pp. 437–440.
constant was reached. Fig. 16 shows the vs. plot [5] Y.-K. Choi, N. Lindert, P. Xuan, S. Tang, D. Ha, E. Anderson, T.-J.
at V with the gate voltage range shifted by 0.5 V as King, J. Bokor, and C. Hu, “Sub-20 nm CMOS FinFET technologies,”
in IEDM Tech. Dig., 2001, pp. 421–424.
described before. of 450 A m for an nA m [6] J. Kedzierski, I. MeiKei, P. Xuan, J. Bokor, T.-J. King, and C. Hu, “De-
is reached. Although these nm devices also have sign analysis of thin body silicide source/drain devices,” in 2001 IEEE
RSD, this value is significantly lower than the reached by Int. SOI Conf. Proc., 2001, pp. 21–22.
[7] Y.-K. Choi, T.-J. King, and C. Hu, “Nanoscale CMOS spacer FinFET
devices with extensions at nm. This is likely caused for the terabit era,” IEEE Electron Device Lett., vol. 23, pp. 25–7, Jan.
by the significantly less abrupt doping gradient of the source 2002.
drain regions. The more gradual gradient in the devices without [8] C. Johnson, S. Ogura, J. Riseman, N. Rovedo, and J. Shepard,
“Method for making submicron dimensions in structures using sidewall
extensions helps to improve their short channel effects by image transfer techniques,” IBM Tech. Disclosure Bull., vol. 26, pp.
increasing for the same gate/fin geometry, but it reduces 4587–4589, 1984.
the overall current drive. [9] T. Ohguro, H. Naruse, H. Sugaya, H. Kimijima, E. Morifuji, T.
Yoshitomi, T. Morimoto, H. Momose, Y. Katsumata, and H. Iwai, “0.12
m raised gate/source/drain epitaxial channel NMOS technology,” in
IV. CONCLUSION IEDM Tech. Dig., 1998, pp. 927–30.
[10] A. Hokazono, K. Ohuchi, K. Miyano, I. Mizushima, Y. Tsunashima, and
High performance double gate FinFET devices were fabri- Y. Toyoshima, “Source/drain engineering for sub-100 nm CMOS using
cated with angled extension implants and selective silicon epi- selective epitaxial growth technique,” in IEDM Tech. Dig., 2000, pp.
243–246.
taxy raised source/drain. Extension resistance was found to be a [11] K. Suzuki, Y. Tosaka, and T. Sugii, “Analytical threshold voltage model
strong function of fin thickness, increasing rapidly for fins less for short channel double gate SOI MOSFETs,” IEEE Trans. Electron.
than 25 nm in thickness. The optimization of FinFET perfor- Devices, vol. 43, pp. 1166–1166, July 1996.
[12] S. Tyagi, M. Alavi, R. Bigwood, T. Bramblett, J. Branderburg, W. Chen,
mance must not only account for minimizing the short channel B. Crew, M. Hussein, P. Jacob, C. Kenyon, C. Lo, B. Mcintyre, Z. Ma,
effects through reduction in fin thickness, but must also con- P. Moon, P. Nguyen, L. Rumaner, R. Schweinfurth, S. Sivakumar, M.
sider the resultant reduction in on-current due to higher para- Stetter, S. Thompson, B. Tufts, J. Xu, S. Yang, and M. Bohr, “A 130 nm
generation logic technology featuring 70 nm transistors dual vt transis-
sitic resistance. The performance of the FinFET is also strongly tors and 6 layers of Cu interconnects,” in IEDM Tech. Dig., 2000, pp.
influenced by the crystallographic direction of the fin. FinFETs 567–570.
958 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 4, APRIL 2003

[13] I. Y. Yang, K. Chen, P. Smeys, J. Sleight, L. Lin, M. Ieong, E. Nowak, Thomas S. Kanarsky received the B.S. degree in bi-
S. Fung, E. Maciejewski, P. Varekamp, W. Chu, H. Park, P. Agnello, S. ology from St. Bonaventure University in 1979.
Crowder, F. Assederaghi, and L. Su, “Sub-60 nm physical gate length He joined IBM in 1979 and initially worked
SOI CMOS,” in IEDM Tech. Dig., 1999, pp. 431–434. on solder bump interconnect technology. He is
presently working in the Advanced Semiconductor
Technology Center, East Fishkill, NY, as a Staff
Development Engineer. He specializes in advanced
integration for exploratory devices and has worked
on such notable projects as vertical DRAM, strained
Jakub Kedzierski (M’02) received the M.S. and Si, FinFET, and ultra-thin SOI. He has authored
Ph.D. degrees in electrical engineering from the seven papers and holds nine patents.
University of California at Berkeley in 1999 and
2001, respectively. His Ph.D. research focused on
implementation of low barrier metal contacts on
highly scaled FDSOI devices, using complementary Ying Zhang received the B.S. and M.S. degrees in physics from the Wuhan
silicides PtSi and Er Si . University, China, in 1982 and 1984, respectively. He received the Ph.D. degree
He is currently a Research Staff Member at in physics from the State University of New York at Albany in 1990.
the IBM T. J. Watson Research Center, Yorktown From 1990 to 1993, he worked on plasma-surface interactions and processing
Heights, NY. His research interests include the in IBM T. J. Watson Research Center, Yorktown Heights, NY, as his postdoctoral
investigation of new thin-body device structures work. From 1993 to 1996, he was with Tegal Corp. working on developing high-
such as the double-gate FinFET and the fully depleted SOI device. Recently density plasma processing tools and processes. Since 1996, he has been with
his efforts have been focused on the use of metal-gates through total gate IBM as a Research Staff Member working at T. J. Watson Research Center on
silicidation, silicide workfunction engineering, and source/drain resistance plasma processing for advanced microelectronics applications.
control methods utilizing selective silicon epitaxy, in thin-body structures.
While at the University of California at Berkeley, he worked within the
group that implemented the FinFET double-gate structure. He also worked on Ronnen Roy, photograph and biography not available at time of publication.
solid-state epitaxy and its application to transistor integration, high-resolution
electron beam lithography, and wrap-around-gate devices. He has over 20
published papers.
Diane Boyd joined IBM Research in 1982. Over
the years, she has worked on both bipolar and
CMOS technologies. Currently, she is a member
of the Exploratory Devices Department at IBM
Microelectronics. She has been instrumental in
Meikei Ieong (SM’01) received the B.S. degree in coordinating the fabrication of FinFET, damascene
electrical engineering from the National Taiwan Uni- gate, metal replacement gate, and strained silicon
versity, Taiwan, R.O.C., and the M.S. and Ph.D. de- devices. She has coauthored 16 papers and patents.
grees in electrical and computer engineering from the
University of Massachusetts, Amherst, in 1993 and
1996, respectively.
He started his career with IBM in 1995, where
he developed the mixed-mode capability in IBM’s
device simulator, Fielday. He was the Architect of David Fried, photograph and biography not available at time of publication.
IBM’s third generation device simulator, Fielday3.
He is currently Manager of the Exploratory Device
and Integration Department in the Semiconductor Research and Development
Center (SRDC) and T. J. Watson Research Center, Yorktown Heights, NY. His H.-S. Philip Wong received the Ph.D. degree in
team has made several important contributions in advanced CMOS devices, electrical engineering from Lehigh University,
including strained-silicon, ultra-thin channel single- and double-gate devices, Bethlehem, PA, in 1988.
3-D integrated circuits, and silicon-based optical detectors. In 2001, he held He joined the IBM T. J. Watson Research Center,
an Adjunct Associate Professor position in the Department of Electrical Yorktown Heights, NY, in 1988, as a Research Staff
Engineering of Columbia University, New York. Member. He is now Senior Manager of the Nanoscale
Dr. Ieong received an IBM Outstanding Technical Achievement award and Materials, Processes, and Devices Department. His
an IBM Corporate award. department is responsible for defining and executing
IBM’s nanoscale science and technology roadmap.
Prior to this appointment, he was Senior Manager of
the Exploratory Devices and Integration Technology
Department. His department was responsible for defining and executing IBM’s
exploratory devices and technology roadmap for silicon technology. While he
Edward Nowak received the S.B. degree in physics has managed a wide range of technical activities from e-beam lithography, sil-
from the Massachusetts Institute of Technology, icon materials and devices, molecular electronics and assemblies, nanotech-
Cambridge, and the M.S and Ph.D. degrees in nology, to quantum device modeling, he maintains an active personal research
theoretical physics from the University of Maryland, career that centers on solid-state devices, device physics and fabrication tech-
College Park. nology, system applications of nano- and microelectronic devices, and solid-
He joined IBM in 1981 to work on DRAM devel- state image sensors.
opment. In 1985, he joined the CMOS logic device Dr. Wong serves on the IEEE Electron Devices Society (EDS) as elected
group at IBM where he continues work on high-per- AdCom member. He serves on the IEDM committee from 1998 to 2002 and
formance CMOS. His current research interests in- serves on the ISSCC program committee from 1998 to 2003. He is a Distin-
clude CMOS power/energy efficiency and scaling of guished Lecturer of the IEEE Electron Devices Society. He has taught several
CMOS technology to below 10 nm. short courses at the IEDM, ISSCC, SOI, and SPIE conferences.

You might also like