You are on page 1of 13

Chemical Engineering Science 81 (2012) 260–272

Contents lists available at SciVerse ScienceDirect

Chemical Engineering Science


journal homepage: www.elsevier.com/locate/ces

A model-based methodology for the analysis and design of atomic


layer deposition processes—Part I: Mechanistic modelling
of continuous flow reactors
A. Holmqvist a,n, T. Törndahl b, S. Stenström a
a
Department of Chemical Engineering, Lund University, P.O. Box 124, SE-221 00 Lund, Sweden
b
Ångtröm Solar Center, Solid State Electronics, Uppsala University, P.O. Box 534, SE-751 21 Uppsala, Sweden

H I G H L I G H T S

c Development of an experimentally validated continuous flow ALD reactor model.


c ALD reaction mechanism is based on consecutive and parallel elementary Eley–Rideal reaction steps.
c The model provides extensive real-time quantitative information regarding reactor conditions.
c Experimental investigation with focus of the impact of process operating parameters.
c Case study on deposition of ZnO films from Zn(C2H5)2 and H2O in a continuous flow reactor.

a r t i c l e i n f o a b s t r a c t

Article history: This paper presents the development of an experimentally validated model that mechanistically compre-
Received 20 February 2012 hends the complex interaction between the gas-phase fluid dynamics, the mass transport of individual
Received in revised form species, and the heterogeneous gas–surface reaction mechanism in a continuous cross-flow atomic layer
3 July 2012
deposition (ALD) reactor. The developed ALD gas–surface reaction mechanism, purely based on consecutive
Accepted 13 July 2012
Available online 22 July 2012
and parallel elementary Eley–Rideal reaction steps, was incorporated into the computational fluid dynamic
representation of the equipment-scale. Thereby, the model mechanistically relates local gas-phase condi-
Keywords: tions in the vicinity of the substrate surface to the transient production and consumption of the fractional
Atomic layer deposition surface coverage of chemisorbed species, ultimately underlying epitaxial film growth. The model is oriented
Ex situ film characterization
towards optimization and control and enables identification of substrate film thickness uniformity
Mathematical modelling
sensitivities to process operating parameters, reactor system design and gas flow distribution. For the
Computational fluid dynamics
Transport processes experimental validation of the derived mathematical model, a detailed experimental investigation with the
Reaction engineering focus on the impact of process operating parameters on the spatial evolution of ZnO film thickness profile
was performed. The controlled deposition of ZnO from Zn(C2H5)2 and H2O was carried out in the continuous
cross-flow ALD reactor system F-120 by ASM Microchemistry Ltd. and ex situ film thickness measurements
at a discrete set of sampling positions on the substrate were performed using X-ray reflectivity and X-ray
fluorescence analysis. The experimental results reported here, underscore the importance of substrate-scale
uniformity measurements in developing mechanistic ALD process models with high predictability of the
dynamic evolution of the spatially dependent film thickness profile. The experimental validation and
extensive mechanistic analysis of the ALD reactor model are presented in the second article of this series
(Holmqvist et al., in press).
& 2012 Elsevier Ltd. All rights reserved.

1. Introduction conformal films on topographically complex structures, a unique


feature among thin-film deposition techniques (Sneh et al., 2002).
Atomic layer deposition (ALD) is being increasingly utilized for Conventional ALD is a special modification of the chemical vapor
the manufacture of thin inorganic films with thickness on deposition (CVD) technique based on sequential self-terminating
the nanometer scale (George, 2010). ALD can be used to deposit gas–surface reactions (Masel, 1996), where the non-overlapping
alternate injection of the precursors1 separated by intermediate
n
Corresponding author. Tel.: þ46 46 222 8301; fax: þ46 46 222 4526.
E-mail addresses: anders.holmqvist@chemeng.lth.se (A. Holmqvist),
1
tobias.torndahl@angstrom.uu.se (T. Törndahl), Precursors are the gas phase chemical species that react to form the
stig.stenstrom@chemeng.lth.se (S. Stenström). deposited film (see Puurunen, 2005 for an overview relevant to ALD).

0009-2509/$ - see front matter & 2012 Elsevier Ltd. All rights reserved.
http://dx.doi.org/10.1016/j.ces.2012.07.015
A. Holmqvist et al. / Chemical Engineering Science 81 (2012) 260–272 261

purge step prevents reaction in the gas phase, allowing the use of reaction pathways of the chemical reactions taking place at
highly reactive precursors and providing sufficient time for each the different stages of ALD growth, the surface intermediates
reaction step to reach completion (Leskelä and Ritala, 2003). Conse- formed and their corresponding energies. These results
quently, the deposition process is highly dependent on the adsorption provide additional physical insight into the nature of these
and surface reaction kinetics (Ritala and Leskelä, 2002), and the under- reaction mechanisms and demonstrate the relative ener-
lying mass transport (Yanguas-Gil and Elam, 2012; Ylilammi, 1995). getics of adsorption and ligand elimination (Heyman and
ALD has already emerged as the prime candidate for depositing of Musgrave, 2004). The kinetic parameters determined with
ultra-thin layers with high conformality in semiconductor manufac- these models can be used in high-level simulations such as
turing (Lei et al., 2006). Recently, the interest in ALD as a photovoltaic transport models (Yanguas-Gil and Elam, 2012; Ylilammi,
manufacturing technique has increased and the functions of ALD 1995) and kinetic Monte Carlo schemes (Adomaitis, 2010;
have expanded (Poodt et al., 2012). Applications include deposition of Mazaleyrat et al., 2005).
surface passivation layers in crystalline silicon (c-Si) solar cells and (ii) Empirical models of ALD growth kinetics for the prediction of
buffer layers in CuIn1 xGaxSe2 (CIGS) based thin film solar cells initial growth reactions on the substrate have been developed
(Bakke et al., 2011). In this context, experimental and mathematical to investigate the potential use of ALD for depositing thin films
modelling studies on deposition of ZnO films were performed in the on silicon-based surfaces in the semiconductor industry.
present work. ZnO-based compounds by ALD are of great interest as Generally, simple phenomenological models, based on nuclea-
buffer layers in CIGS based thin film solar cells (Naghavi et al., 2010, tion and growth (Alam and Green, 2003; Yim et al., 2008) and
2011) as a replacement of standard CdS buffer layers prepared by island formation (Puurunen and Vandervorst, 2004; Puurunen
chemical bath deposition (CBD) for environmental reason, and due to et al., 2004), have been used to investigate the origin of
the ability to change the electrical and optical properties of ZnO different growth modes. Furthermore, models based on gen-
by addition of other elements. CIGS based devices with ZnO1 xSx eral gas–surface reaction kinetics (Lim et al., 2000a,b, 2001;
(Platzer-Björkman et al., 2006), Zn1 xMgxO (Törndahl et al., 2007, Park et al., 2000) have been developed to predict incomplete
2009) and Zn1 xSnxOy (Hultqvist et al., 2011, in press) films surface saturation growth.
deposited by ALD have already shown comparable device efficiency (iii) Ballistic transport and surface reaction models have been
to CdS devices. A cross-section transmission electron microscopy developed for simulating ALD processes in high-aspect-ratio
(TEM) micrograph of a CuInSe2 (CIS) thin film solar cell device with nanopores, such as those found in integrated circuit fabrication
an ALD-ZnO buffer layer is depicted in Fig. 1, where the experi- (Gordon et al., 2003). Because of thevery large Knudsen number
mental parameters for growing this device are described in Platzer- corresponding to these processes, transport models for transi-
Björkman et al. (2003). ent deposition processes and direct solution to Boltzmann’s
equations describing molecular level precursor transport
1.1. Review of mathematical models for ALD processes dynamics were developed in Gobbert et al. (2002a,b). Addi-
tionally, Adomaitis (2011) derived expressions for transmission
Despite the numerous successful applications of material growth probability functions describing fluxes between the pore sur-
by ALD (Kim, 2003; Kim et al., 2009), significant developmental face features based on the work of Cale (1991) and Cale and
issues remain in many applications. Further, recognition that many Raupp (1990). These models can be exploited to determine
physicochemical processes controlling ALD growth are not suffi- optimal precursor exposure levels for intricate deposition sur-
ciently understood has motivated recent modelling studies. A num- face topographies.
ber of models have been presented to date, featuring a multitude of (iv) Mechanistic models of continuous cross-flow ALD reactors,
approaches and levels of modelling detail (Elliott, 2007). The diversity incorporating gas–surface reaction mechanisms of the film
of published work in the field is a consequence of the broad range of growth on the atomic scale into a continuum description of
modelling objectives and applications. The models proposed so far precursor gas transport at the macroscopic level, have been
can be divided into four different categories. developed to investigate the substrate spatially dependent
film thickness profile (Aarik and Siimon, 1994; Siimon
(i) Atomic-scale models, applying first-principle density func- and Aarik, 1995, 1997). In the cited studies, the 1D model
tional theory (Elliott and Greer, 2004) and quantum-chemical comprises continuity equations for the precursor mass transport
cluster calculations, have been developed to investigate and equations for the spatial evolution of the surface adsorbent
coverage. A potential model reduction, quantified by the magni-
tude of the Peclet number, was presented in Ylilammi (1995).
The transient plug-flow model was later on generalized by
Yanguas-Gil and Elam (2012) to account for the influence of
axial diffusion. Additionally, Deminsky et al. (2004) and Knoops
et al. (2011) carried out simulations based on the plug-flow
limit, however both studies have excluded the mathematical
description. Essentially, the mechanistic models can be categor-
ized into two separate types, based on how the gas–surface
interaction is incorporated into the transport model. One
approach is to define an effective sticking coefficient (Aarik
and Siimon, 1994; Siimon and Aarik, 1995; Yanguas-Gil and
Elam, 2012; Ylilammi, 1995), lumping many significant factors
of the surface reaction process into a single parameter. The other
approach is to use a kinetic mechanism to describe the relevant
Fig. 1. Cross-section TEM micrograph of CIS solar cell with an ALD-ZnO buffer elementary gas–surface reactions based on the Arrhenius
layer. The general device structure of a CIGS solar cell is: soda lime glass (SLG)/Mo/ equation (Deminsky et al., 2004).
CuIn1  xGaxSe2/buffer layer/i-ZnO/ZnO:Al, where the buffer layer is either CBD-CdS
or a high performing alternative buffer layer as described in Naghavi et al. (2010).
The entire stack of thin film materials in a CIGS based solar cell is commonly Atomic-scale models often provide a basic understanding of
around 2–4 mm in thickness. the adsorption process, while valuable insight into the complicated
262 A. Holmqvist et al. / Chemical Engineering Science 81 (2012) 260–272

details of the process can be obtained by integration with a relevant surface reactions and the precursor exposure period duration. This
equipment-scale model. Reactor geometry and gas flow conditions splits the model problem neatly into a combination of spatial and
have been recognized as vital elements in film deposition using ALD temporal scales, and the phenomenological aspects of coupling the
(Elliott, 2007). The relative importance of process operating para- atomic-scale model of the heterogeneous surface reactions and film
meters on the character and mechanism of surface reactions has been growth to the continuum description of gas flow dynamics and
assessed in several experimental studies. The influence of the carrier precursor mass transport within the reactor are illustrated in Fig. 2a.
gas flow rate and pressure on the film growth rate was investigated The coupling between the spatial scales is bidirectional (Kleijn et al.,
by Aarik et al. (2006) and Jur and Parsons (2011), whereas the effect 2007), where the net impingement mass flux onto the flat active
of non-uniform gas distribution and non-uniform distribution of the substrate surface is balanced with the sum of the heterogeneous ALD
precursor in the carrier gas was emphasized by Elers et al. (2006). surface reactions that takes place over each exposure period (Gordon
Further, the temperature, which is the most readily attribute to et al., 2003). It is noteworthy that the precursor pulses become
activation energies of the elementary reactions, as well as the process depleted in the direction of the flow, and consequently, the impinge-
time parameters, i.e. precursor exposure and purge times, depen- ment mass flux of precursors and thereby the surface reaction rates
dence have been undertaken seriously by Lei et al. (2006). are not spatially constant throughout the substrate.
However, the mechanistic details of equipment-scale process The gaseous precursors are admitted separately in an alternate
operating parameters have not yet been thoroughly studied theore- fashion (see Fig. 2a), which enables individual chemisorption onto
tically. Because the main objective of the models described above the substrate surface. The ALD binary reaction sequence chem-
was to determine the main features of the chemical mechanism and istry (Park et al., 2000) for growing compound AB from Axx and
atomic nature of film growth, detailed fluid dynamics have been Byy is schematically illustrated in Fig. 2b. Generally, during the
neglected. The Semiconductor Industry Association (2011) recently first adsorptive precursor exposure sequence (i) the intermediate
published the International Technology Roadmap for Semiconductors chemisorption complex on the surface By reacts with the incom-
(ITRS) guidelines in which the importance of understanding the ing volatile precursor Axx which thereby becomes anchored to
mechanistic process was emphasized within the scope of developing the surface. The subsequent reaction sequence (iii) proceeds in an
predictive models and in situ diagnostics for ALD processes. Hence, analogous manner during the Byy precursor exposure, where the
validated, predictive ALD models are critical for the successful outermost intermediate chemisorption complex Ax undergo reac-
prediction of the influence of equipment on the properties of the tion (Matero et al., 2000).
film, as such models can be used in the design and evaluation of
reactors, and to improve the efficiency of film growth in existing 1.3. Objectives of this series of articles
reactors. As reliability becomes more and more important, modelling
will become increasingly necessary to provide design tools, not only The overall objective of this series of articles is to present a
to optimize throughput in manufacturing, but also to achieve more model-based methodology for simulating an ALD process that can
robust reliability margins. In the ITRS initiative, the need for model- be widely used to assist in process analysis, design and optimiza-
ling is emphasized to investigate the impact of equipment and tion, bearing in mind the recent ITRS guidelines. The proposed
process-induced variations, and to minimize the impact of process methodology applies the systematic modelling procedure pro-
variations that have critical effects in manufacturing and the robust- posed by Hangos and Cameron (2001) and is founded on an
ness of designs. experimentally validated ALD process model using the COMSOL
s
In order to be able to validate models, the ITRS guidelines promote Multiphysics (COMSOL AB, 2008b) modelling environment. The
the use of modern process analytical and monitoring technologies, case study on the growth of ZnO films deposited from Zn(C2H5)2
including the in situ quartz crystal microbalance (QCM) (Aarik et al., and H2O was used to demonstrate the applicability of the
1994a,b; Elam et al., 2002a; Fan and Toyoda, 1992; Yousfi et al., framework.
2000) and quadrupole mass spectroscopy (QMS) (Juppo et al., 2000; The development of the experimentally validated ALD reactor
Lei et al., 2006; Rahtu and Ritala, 2002), which provide extensive real- model for deposition of ZnO films in this study involved two
time quantitative information regarding process chemistry and sequential steps.
reactor conditions. In order to utilize the detailed process dynamics
revealed by in situ monitoring technologies in the development (i) To derive a novel mathematical model that mechanistically
of validated, predictive ALD process models, computational fluid describes the complex interactions between the gas-phase
dynamics (CFD) including the surface reaction mechanism is becom- fluid dynamics, the mass transport of individual species, and
ing increasingly necessary. the heterogeneous surface reaction mechanism in a contin-
uous cross-flow ALD reactor (Suntola, 1992). The model is
1.2. The multi-scale nature of the problem and its implication for oriented towards optimization and control and should be able
modelling to accurately predict the dynamic response of the substrate
spatially dependent film thickness profile (Siimon and Aarik,
Ideally, a model for ALD processes describes all relevant physico- 1995, 1997) to the variations of all the measured equipment-
chemical processes in the reactor, and relates these phenomena to scale process operating parameters (see Section 2). This
the properties of the deposited film. All ALD processes are controlled modelling approach is motivated by the substantial interest
through equipment-scale process operating parameters, such as of cross-flow, low-volume ALD reactor designs for manufac-
pressure, temperature and flow rates, and the macroscopic scale turing equipment of production-size substrates where strin-
gas flow dynamics determine the external mass transport of gas- gent uniformity; defined by Cleveland et al. (2012) as the
phase precursors to the active surface of the substrate. Thereby, a ratio of the standard deviation over the mean value of the
complete model for a deposition system cannot avoid the largest spatially dependent film thickness profile; constraints (Henn-
scale framework. However, the heterogeneous ALD gas–surface Lecordier et al., 2011) and demands for enhanced throughput
reactions underlying the epitaxial film growth are determined by and precursor conversion (Lankhorst et al., 2007) require
phenomena at the atomic scale. optimal reactor designs and process operating conditions
In addition to the two length scales, the full ALD process is also (Elers et al., 2006).
characterized by two time scales (Adomaitis, 2010): the slower time- (ii) To perform a detailed experimental investigation in order to
scale of film growth and faster time corresponding to heterogeneous assess the validity of the model generated spatially dependent
A. Holmqvist et al. / Chemical Engineering Science 81 (2012) 260–272 263

Fig. 2. Schematic representation of the multi-scale nature of the dynamic ALD process. (a) Illustrating the hydrodynamic flow field and the external mass transport of
precursors to the substrate surface at the reactor-scale. (b) Illustrating the two precursor (Axx, Byy) exposures and two purge steps constituting one complete ALD cycle
ðDt ¼ Dt A þ 2DtP þ Dt B Þ at the atomic-scale. yk denotes the fractional surface coverage of chemisorbed species k A fAx,Byg.

Fig. 3. Simplified P&ID of the continuous flow ALD reactor system F120 by ASM Microchemistry Ltd. (Suntola, 1992). The vector of set points for the measured equipment-
scale process operating parameters is: Yr ¼ ½Q_ N2 ,p,T, Q_ ZnðC2 H5 Þ2 , Q_ H2 O , Dt ZnðC2 H5 Þ2 , Dt H2 O , Dt N2 y .

film thickness profile on a discrete set of sampling positions section outlines the modelling environment. Finally, concluding
on the substrate. The focus was on understanding the impact remarks are drawn in Section 6.
of process operating parameters on the spatial evolution of
the substrate film thickness profile.
2. Overview of the continuous flow ALD reactor system
On the basis of the derived mathematical model and the experi-
mental measurement data, a nonlinear parameter estimation Most ALD studies have been carried out in low-pressure, flow-
problem was formulated in the second article of this series type reactors where a continuous flow of inert carrier gas is
(Holmqvist et al., in press) to determine reliable estimates of introduced over the substrate (Elers et al., 2006). There are
the kinetic parameters involved in the ALD gas–surface reaction various types of ALD reactor systems (Granneman et al., 2007),
mechanism governing ZnO film growth. however, in this study, the continuous cross-flow reactor system
The reminder of this paper is organized as follows. Section 2 F-120 by ASM Microchemistry Ltd. (Suntola, 1992) was consid-
presents the continuous cross-flow ALD reactor system and the ered. The simplified process and instrumentation diagram (P&ID)
measured process operation parameters. Section 3 concerns the is depicted in Fig. 3. The whole reactor chamber (RC) and
derivation of the mathematical ALD reactor model. In Section 4 precursor transport system is placed in a 1.2 m long quartz glass
the experimental investigation is described, while the subsequent tube with a diameter of 1:2  101 m. This tube is divided into
264 A. Holmqvist et al. / Chemical Engineering Science 81 (2012) 260–272

separate heating zones that are externally heated by an induction considered, which is appropriate for analysis, design and optimi-
heating setup; where a solid state RF power supply sends an AC zation of ALD rectors as noted in Elliott (2007).
current through a copper coil; controlled by a PID controller to
generate a homogeneous operating temperature, T. To further 3.1. Formulation of underlying model assumptions
prevent temperature induced fluctuations in the reaction cham-
ber, all gases pass through a preheating zone before entering the In order to simplify the overall modelling framework and reduce
reaction chamber. The reactor system utilizes a continuous the computational requirements, several assumptions have been
viscous flow of ultra-high-purity nitrogen carrier gas, Q_ N2 , sup- made without sacrificing the accuracy and applicability of the
plied via a mass flow controller (MFC). After the nitrogen flow model. Some of these are derived from the operation of the ALD
passed through the reaction chamber, the flow was pumped by a reactor system (see Section 2) and others are theory related. The
rotary vane vacuum pump and the operating pressure, p, in the assumptions derived from the operation of the reactor system are:
reactor system was monitored by a pressure transducer mounted
upstream the exhaust pump. Furthermore, the reaction chamber (i) In the conventional pressure-driven flow system in the con-
was equipped with two 5:0  102  5:0  102 m substrates tinuous flow ALD reactor (cf. Fig. 3), a mass flow controller
positioned inside a 2:0  103  5:0  102 m flow channel. As a (located upstream of the reactor) supplies the carrier gas at a
consequence of the relatively small characteristic length scale of constant mass flow rate, and a vacuum pump (located down-
the narrow flow channel, the linear flow rate over the substrate stream of the reactor) maintains the flow through the system
surface is high, and the precursor pulse dispersion is thus very and establishes the operating pressure. Hence, the pressure
small, and residence time very short (George, 2010). field inside the reactor chamber is inhomogeneous and the gas
The highly volatile precursors (H2O and Zn(C2H5)2) are stored density can no longer be considered constant. The chemical
in reservoirs (maintained at room temperature) outside the reactions and precursor pulsing sequence also contribute to
quartz glass tube. Regulating valves on the precursor gas lines density variations. Therefore, it is beneficial to consider com-
were used to control the mass flow of the doses, Q_ H2 O and pressible fluid dynamics in order to achieve continuity,
Q_ ZnðC2 H5 Þ2 , into the reactor chamber. Prior to the reaction chamber, although the Mach number (Ma) is below unity.
a three way gas switching mechanism (GSM), represented as two (ii) It should be noted that the hot-wall flow-type reactor
on–off diaphragm valves and one mixing valve, controlled the provides a reasonably isothermal environment, in which
precursor pulse exposure times, Dt H2 O and Dt ZnðC2 H5 Þ2 , and the the fixed-bed quartz chamber and the gas delivery lines are
subsequent nitrogen purge time, Dt N2 . It is noteworthy that inductively heated. Hence, it was assumed that the tempera-
the GSM is an inherent physical structure of the reactor chamber ture field within the reactor was homogeneous.
inlet and not a valve arrangement as depicted in Fig. 3 for (iii) Under the operating conditions normally encountered in the
clarification, see e.g. Baunemann (2006) for a comprehensive ALD reactor, the continuum hypothesis is assumed to be
description of the reaction chamber design. The reactive precur- valid, thereby utilizing computational fluid dynamics of the
sors are admitted separately in an alternate fashion and the compressible viscous fluid in the laminar region, since the
continuous inert gas flow transports travelling waves of precur- range of Reynolds (Re) numbers is significant below the value
sors laterally across the active surface of the substrates in the at the onset of turbulence.
reactor chamber.
The theory related assumptions are:

3. Mechanistic modelling of the continuous flow ALD reactor (iv) The system is assumed to be thermodynamically ideal,
system behaving in accordance with the ideal gas law, thereby
having the necessary pressure dependence on the fluid
Modelling of an ALD continuous flow reactor system may be density.
performed in different levels of detail and assumptions, see e.g. (v) The computational domain considered is the narrow flow
Aarik and Siimon (1994), Yanguas-Gil and Elam (2012) and channel in the reactor chamber (cf. Fig. 4), and was simplified
Ylilammi (1995), and the chosen level depends on the predeter- using a spatial symmetry plane, thereby reducing the number
mined modelling goal, as defined by Hangos and Cameron (2001), of degrees of freedom, and consequently the computational
and model application. Reactor-scale effects propagate to the time and memory requirement.
atomic scale and, to accommodate this relation, a two-dimen- (vi) Only immobile surface species were considered, i.e. surface
sional model; where the narrow flow channel inside the reactor diffusion is neglected.
chamber in the ALD continuous flow reactor system (see Fig. 3) (vii) The same maximum surface site density is available for the
constitutes the computational domain O (see Fig. 4), based on deposition of all surface species, and the corresponding
computational fluid dynamics, incorporating the ALD heteroge- maximum amount of adsorbed species is assumed to be a
neous surface reaction mechanism, was considered. This implies monolayer (as in chemisorption).
that no finer modelling level representing the spatial evolution of (viii) All adsorption sites on the surface are assumed to be
the substrate film thickness profile than mass and momentum identical, and neighboring adsorbed species are assumed
conservation equations incorporating reaction rate equations are not to interact with each other.

Fig. 4. Sketch of the computational domain, O, with partitioned boundary G ¼ Gin [ Gwall [ Gsub [ Gsym [ Gout . z A ½0,9Gsub 9 represents the substrate boundary Gsub local
coordinate variable. The quantities pentr and Lentr were used in Eq. (7) considering the boundary condition at the inlet, prescribing a laminar velocity profile. The dimensions
are as follows: 9Gsub 9 ¼ 5:0  102 m, 9Gin 9 ¼ 9Gout 9 ¼ 2:0  103 m, 9Gwall 9 ¼ 2:5  103 m and Lentr ¼ 1:0  103 m.
A. Holmqvist et al. / Chemical Engineering Science 81 (2012) 260–272 265

3.2. Governing equations of the ALD reactor sub-model Sa , in the convection–diffusion equations (Eq. (4)) since no
reaction is expected in the gas phase.
The mathematical model of the continuous cross-flow ALD
reactor chamber is based on fully coupled equations for the 3.2.1. Boundary conditions
conservation of mass, momentum, individual gas phases and In the following, the essential and natural boundary conditions
surface species, together with constitutive relations for momen- for the partitioned boundary G ¼ Gin [ Gwall [ Gsub [ Gsym [ Gout
tum and mass fluxes, defined on the two-dimensional spatial of the domain O (see Fig. 4) are derived. Gh is the subset of the
domain O (cf. Fig. 4) with spatial coordinates z ¼ ½z1 ,z2 . To total boundary, G ¼ Gg [ Gh , where Neumann-type boundary
capture the detailed process dynamics at the level of the ALD conditions are prescribed and Gg is the part of the boundary on
pulse sequence (cf. Section 1.2), transient conditions prevail for all which Dirichlet boundary conditions are applied.
governing equations in the temporal domain t A ½t 0 ,t end . The In order to prescribe an inlet velocity profile, the Navier–
characteristic time-scales for ALD precursor chemisorption on Stokes equation is projected onto the boundary (COMSOL AB,
flat substrates and precursor mass balances corresponding to 2008b). In mathematical terms this becomes:
the macroscopic-scale transport, respectively, are presented in
Lentr rt  ðpdm½rt v þðrt vÞy 23ðrt  vÞdÞ ¼ npentr
Granneman et al. (2007).
Based on the modelling assumptions in Section 3.1, the rt  v ¼ 0 at Gin  Gg ð7Þ
Navier–Stokes equations governing viscous compressible fluid where rt is the gradient in the direction tangential to the
flow are boundary and n is the outward unit vector normal to the
@rv boundary. The applied condition corresponds to the situation
¼ ðr  rvvÞðr  pÞ þf in O for t A ½t 0 ,t end  ð1Þ presented in Fig. 4, where a fictitious entrance region of length
@t
Lentr is assumed to be attached to the inlet of the computational
and assuming Newtonian fluid, the molecular momentum flux
domain O. The pressure at the end of the entrance region, pentr , is
tensor, p, is defined by
determined such that the desired inlet velocity or mass flow is
p ¼ pdm½rv þ ðrvÞy 23ðr  vÞd ð2Þ obtained. The associated integral mean value of the velocity
profile at Gin is given by
where r,v,f, p, d and m are the density, velocity, body force, Z Z
pressure, unity tensor and dynamic viscosity, respectively. The 1 1 1 X
/vS9Gin ¼ v@G ¼ r Q_ a @G ð8Þ
compressibility imposed on the conservation of mass yields the 9Gin 9 Gin 9Gin 9 Gin rAGin a ¼ 1 STP, a
continuity equation:
where Q_ a is the volumetric flow rate at STP of the individual
@r gaseous species a. Furthermore, the total inlet mass flux of the
¼ ðr  rvÞ in O for t A ½t 0 ,t end  ð3Þ
@t non-overlapping alternate injection of the ath species can be
Hence, the transport of the ath species, a A f1,2, . . . ,Na 1g and Na expressed as
is the total number of gaseous species, is governed by the 1
conservative formulation of the convection–diffusion equation: n  ðroa v þja Þ ¼ r Q_ Pa ðt, Dt a Þ at Gin  Gh ð9Þ
AGin STP, a a
@roa
¼ ðr  roa vÞðr  ja Þ þ Sa in O for t A ½t 0 ,t end  ð4Þ which was implemented by taking each of the inlet mass fractions
@t
oa 9Gin fixed and prohibiting species diffusion through the inlet
where oa is the mass fraction, Sa is the source and ja is the total boundary Gin :
diffusive mass flux, relative to the mass-averaged velocity field v
of the gas mixture, of the ath species. The constitutive relation for rSTP, a Q_ a Pa ðt, Dta Þ
oa 9Gin ¼ P _
at Gin  Gg
the mass flux can be obtained from either Fick’s law of binary a ¼ 1 rSTP, a Q a Pa ðt, Dt a Þ
diffusion (Eq. (5a)) or the generalization of Fick’s law to include n  ja ¼ 0 at Gin  Gh ð10Þ
multicomponent diffusion (Eq. (5b)):
Here, the shift in arguments Pa ðt, Dt a Þ A ½0,1 was implemented
8
< rDab roa ðaÞ through the superposition of time-dependent smoothed Heavi-
P
ja ¼ roa D ab ðrcb þðcb ob Þr ln pÞ ðbÞ ð5Þ side functions with a continuous second derivative without
: b¼1 overshoot (COMSOL AB, 2008a), and where Dt a is the pulse
duration of the ath gaseous species.
where cb is the molar fraction of the species b. The symmetric,
The no-slip boundary condition on Gwall eliminates all compo-
multicomponent Fick diffusivities (Dab ¼ Dba ), as outlined by
nents of the velocity vector and the total mass flux is prescribed
Curtiss and Bird (1999), are related to the Maxwell–Stefan
to zero:
diffusivities Dab (Wesselingh and Krishna, 2000) through the
relation: v¼0 at Gwall  Gg ð11Þ
P
ca cb g a a Dag ðadj Ba Þgb n  ðroa v þ ja Þ ¼ 0 at Gwall  Gh ð12Þ
Dab ¼  P ð6Þ
oa ob g a a ðadj Ba Þgb
Furthermore, Gout is a free boundary where the normal stress is
in which a, b A f1,2, . . . ,N a g, ðBa Þbg ¼ Dbg Dag and adj Ba is the prescribed to zero together with a Dirichlet condition on the
matrix adjoint to Ba . Furthermore, for multicomponent diffusion pressure, and the diffusive mass is prescribed to zero:
in gases at low density, to a very good approximation, the Dab
ðm½rv þ ðrvÞy 23ðr  vÞdÞn ¼ 0 at Gout  Gh ð13Þ
may be replaced by the binary diffusivities Dab (Bird et al., 1960).
The transport coefficients, Dab and ma , were determined from the p ¼ /pS9Gout at Gout  Gg
Chapman–Enskog kinetic theory of dilute gases (Hirschfelder
n  ja ¼ 0 at Gout  Gh ð14Þ
et al., 1964; Reid et al., 1988) and the viscosity for the multi-
component mixture of gases, m, was determined from the semi- The integral mean value of the pressure at the inlet, /pS9G , was
in
empirical mixing formula in Wilke (1950). Furthermore, the body determined in an iterative manner for a specific mass flow of the
force term, f, in the full Navier–Stokes equations (Eq. (1)) is carrier gas and process temperature, using fsolve in the Optimiza-
omitted as the force of gravity is negligible, as is the source term, tion Toolbox TM for MATLABs (The MathWorks, Inc, 2010b), until
266 A. Holmqvist et al. / Chemical Engineering Science 81 (2012) 260–272

the governed pressure at the outlet, /pS9Gout , was consistent with governed by
the applied reference value. This procedure was performed prior X
@Lyk
to the simulation of the gaseous precursor mass balances (Eq. (4)) ¼ xk,i r i at Gsub for t A ½t 0 ,t end  ð18Þ
@t i¼1
and determines the initial conditions for the velocity and pressure
fields in O. Finally, the boundary condition on Gsym , according to and subject to insulating boundary conditions, cf. Eq. (14) with
Eq. (11) is valid, and no penetration or vanishing shear stress canceled convection and diffusion terms. Here, yk is the fractional
conditions are prescribed: surface coverage of the kth surface species, k A f1,2, . . . ,Nk g and N k
t  ðpdm½rv þ ðrvÞy 23ðr  vÞdÞn ¼ 0 at Gsym  Gh is the total number of surface species, and L is the maximum molar
concentration of surface sites per unit area available for deposition.
nv¼0 at Gsym  Gg ð15Þ
The kinetic mechanism used gives the general reversible formalism
where t is the unit vector tangential to the boundary. of the ith surface reaction rate:
!nads,i
X
3.2.2. Coupling reactor gas transport to heterogeneous surface r i ¼ kads,i pa 9G L Ly‘ kdes,i ðLyk Þndes,i ð19Þ
sub
‘¼1
reactions
Owing to the heterogeneous surface reactions, see Section 3.3, where nads,i is the adsorption order related to the interaction
there will be a net mass consumption or production of gaseous between adsorbents, and ndes,i is the corresponding desorption
species at the substrate surface. As a consequence of the net mass order. The temperature dependency of adsorption, kads,i , and deso-
flux between the gas bulk and the surface, a velocity, the so-called rption, kdes,i , rate constants is governed by reparameterization of the
Stefan velocity (Kuijlaars et al., 1995), is induced in the normal Arrhenius equation (Schwaab et al., 2008; Schwaab and Pinto, 2007,
direction and, assuming that the no-slip condition holds, the 2008) by introducing a reference temperature, T ref,i , in the form
tangential component is zero:   
E 1 1
ki ¼ kT ref,i ,i exp  i  ð20Þ
1X X R T T ref,i
nv¼ Ma xa,i ri
ra¼1 i¼1 where kT ref,i ,i ¼ Ai expðEi ½RT ref,i 1 Þ is the specific reaction rate at
tv¼0 at Gsub  Gg ð16Þ T ref,i , Ai is the frequency factor, and Ei is the activation energy of the
where ri is the net molar reaction rate of the ith elementary ith elementary reaction. The subscript ‘ in Eq. (19) represents all kth
surface reaction, and xa,i the stoichiometric coefficient corre- surface species with which the ath gaseous species cannot undergo
P
sponding to the ath species. The resulting boundary condition a reaction, hence ð1 ‘ ¼ 1 y‘ Þ defines the fractional surface cover-
for the species-continuity equations at the gas–surface interface, age available for reaction. Eq. (19) imposes the restriction that the
Gsub , yields finite sum of the fractional surface coverage of the kth surface
P
X species must be unity at all times, i.e. k ¼ 1 yk ¼ 1. No inhibition is
n  ðroa v þja Þ ¼ M a xa,i ri at Gsub  Gh ð17Þ explicitly imposed on the surface reaction rate to account for steric
i¼1 hindrance (Puurunen, 2003; Ylilammi, 1996), however, these effects
which states that the total gas-phase mass flux of the ath species are still considered through the reversible formalism. Hence, when
normal to the surface is balanced by the net consumption or the kth fractional surface coverage approaches unity, the desorption
production of mass per unit area. effects become more significant, and thus limit the net reaction rate
(Wei et al., 1994). Consequently, growth behavior when the max-
3.3. Governing equations of the heterogeneous surface reaction sub- imum surface site density is not covered, even at saturated pre-
model cursor dosage conditions, is taken into account.
The continuity equation for the fractional surface coverage of
The characteristic of the surface reaction, i.e. the probability surface species (Eq. (18)) includes the partial pressure of gas-phase
that the reaction proceeds through the formation of adsorbed species, pa 9G , at the position, z A Gsub , on the substrate surface.
sub

species, is dependent on the properties of the exposed adsorbent Hence, the continuity equation for the gas phase and the substrate
surface. During the initial stage of ALD, the pre-deposited sub- surface species, respectively, are coupled through the surface reac-
strate surface is gradually converted into a film as the deposition tions via the boundary condition (Eq. (17)), at the substrate surface.
process proceeds. Therefore, there is a transient region, which In general, it is impossible to find a closed-form solution, yk , to the
leads to the nonlinear dependence of film thickness increment on partial differential equation (PDE), see Eq. (18), because the impin-
the number of deposition cycles (Alam and Green, 2003; Lim gement flux of precursors, equalized by the source term in the same
et al., 2000a; Puurunen and Vandervorst, 2004). Subsequently, equation, is not spatially constant throughout the substrate, as
when the substrate is fully covered by the ALD-grown film, emphasized by Gobbert et al. (2002a).
the effect of the substrate is lost and the thickness increment
becomes linearly dependent on the number of deposition cycles. 3.4. Governing equations of the film growth sub-model
According to Masel (1996) there are three generic types of
surface reactions: those that follow the Langmuir–Hinshelwood The mass increment per unit area and the time from the
mechanism (Park et al., 2000), those that follow the Eley–Rideal chemisorption of the precursor at position z A Gsub on the sub-
mechanisms (Lim et al., 2001, 2000b) and the precursor mechan- strate surface is governed by
isms. In the present study, the binary reaction (in the case of @ms X
conventional CVD) was separated into two half-reactions, and ¼ xk,i ri DMi at Gsub for t A ½t0 ,tend  ð21Þ
@t i¼1
assumed to follow the Eley–Rideal mechanism, where the surface
reactions are considered to proceed without the formation of an Eq. (21) is subject to insulating boundary conditions, cf. Eq. (14)
intermediate adsorption complex. Additional assumptions under- with canceled convection and diffusion terms and where DM i is
lying the derivation of the general surface reaction model are the difference in molecular weight of the outermost surface
presented in Section 3.1. Based on these assumptions, the general species governed by the ith elementary reaction. The correspon-
surface reaction model, describing the spatial and temporal frac- dence between mass increment per unit surface area and the
tional surface coverage, referred to as chemisorption coverage, is equivalent thickness of the layer is ms ¼ rs hs , where rs is the bulk
A. Holmqvist et al. / Chemical Engineering Science 81 (2012) 260–272 267

density of the film. Additionally, the mean mass deposited per In this process, adjacent surface hydroxyl groups react, which is a
unit area at a certain time /ms S is governed by second-order reaction with respect to the fractional surface
Z coverage of hydroxyl groups, forming oxygen bridges or unsatu-
@/ms S 1 @ms
¼ @Gsub at Gsub for t A ½t 0 ,t end  ð22Þ rated bonds on the surface. This becomes a limiting factor with
@t 9Gsub 9 Gsub @t
respect to the rate of film growth under conditions of extensive
which is an essential scalar quantity for reproduction of in situ dehydroxylation. The hydroxyl groups may be reformed on the
QCM diagnostics. oxide surface during exposure to water by rehydroxylation
through the reversed reaction of Eq. (24c):
3.5. Elementary surface reaction mechanism
ð4Þ ðOÞ/sSþ H2 O/gS!2ðOHÞ/sS ð24dÞ

The model-based methodology presented in Sections 3.2–3.4 which is of first order with respect to the fractional surface
was applied to a case study on sequential surface chemical coverage of oxygen groups. In mathematical terms, Eq. (24c)
reactions for the controlled deposition of ZnO films (Özgur was interpreted as a desorption reaction, and consequently, only
et al., 2005; Triboulet and Perriére, 2003) from Zn(C2H5)2 and the contribution corresponding to desorption in Eq. (19) was
H2O (Elam et al., 2002b; Ferguson et al., 2005; Ott and Chang, considered. In contrast, only the adsorption contribution in
1999; Yousfi et al., 2000). In the case of conventional CVD of ZnO Eq. (19) was used when considering Eq. (24d).
films using these precursors, the binary reaction is
ZnðC2 H5 Þ2 þ H2 O!ZnO þ 2C2 H6 ð23Þ 3.5.1. Kinetic parameters for the elementary surface reactions
In this study, ex situ film thickness measurements were
During ALD of thin oxide films it is generally accepted that surface performed (see Section 4), and thus no reliable information
hydroxyl groups remain as intermediate species after exposure to regarding the transient mass increment occurring during the
water, and that these react with the incoming metal precursors half-reactions could be obtained. Therefore, the average number
during the subsequent metal precursor sequence (Matero et al., of reacting hydroxyl groups was set to the value presented
2000). A reduced chemistry model that includes only the primary by Elam and George (2003). The stoichiometric coefficients xi ,
sequential elementary surface reaction mechanism was devel- adsorption nads,i and desorption ndes,i order associated with the ith
oped to obtain reliable values of the required kinetic parameters, elementary surface reaction are defined according to Eqs. (18),
and to provide a predictive model of the ALD process. The two (19) and (24). Consequently, the remaining kinetic parameters,
sequential elementary surface reactions for a normally hydro- including the maximum molar concentration of surface sites, the
xylated surface were thus defined as specific reaction rate at T ref,i and the associated activation energy
ð1Þ nðOHÞ/sSþ ZnðC2 H5 Þ2 /gS for the ith elementary surface reaction, constitute calibration
!ðOÞn ZnðC2 H5 Þ2n /sSþ nC2 H6 /gS ð24aÞ parameter vector:

ð2Þ ðOÞn ZnðC2 H5 Þ2n /sSþ ð2nÞH2 O/gS


b ¼ ½L,kT ref,i ,i ,Ei y ð25Þ
!ðOÞn ZnðOHÞ2n /sSþ ð2nÞC2 H6 /gS ð24bÞ where i A f1,2, . . . ,4g, and 8i a3 takes adsorption into account, cf.
in which /sS and /gS denote surface and gaseous species, Eq. (19), and where b A RNb is the N b -dimensional parameter
respectively, and n is the average number of hydroxyl groups space.
reacting with each Zn(C2H5)2 molecule. Eqs. (24a) and (24b)
represent irreversible forward surface reactions, where deso-
4. Experimental investigation
rption (or the reverse reaction) has been neglected in order to
reduce the number of unknown kinetic reaction parameters.
4.1. Experimental setup
Therefore, the contribution corresponding to desorption in Eq.
(19) was omitted when deriving the mathematical formulation of
The controlled deposition of ZnO films on soda lime glass
the surface reaction rate. However, Rahtu and Ritala (2002) have
(SLG), from Zn(C2H5)2 and H2O, was carried out in the continuous
shown that this assumption is not generally valid for all adsorp-
cross-flow reactor system described in Section 2. This system
tive precursors.
setup enables measurement of all necessary input signals, control
The sequential elementary surface reactions were defined to
the appropriate variables and adjust several system parameters
allow a variable number of reacting hydroxyl groups (Rahtu et al.,
(see Fig. 3). In the current study the operation of the ALD reactor
2001). In the simplest case, for n ¼ 1, QCM studies have shown
has been tested under a wide range of conditions, and the focus
that the mass increment during the alternate injection of the
was on understanding the impact of process operating para-
precursors was not consistent with the proposed sequential
meters on the spatial evolution of the substrate film thickness
elementary surface reactions (Yousfi et al., 2000). The quotient
profile, see e.g. Cleveland et al. (2012) and Henn-Lecordier et al.
of the difference in molecular weight of the outermost surface
(2011).
species is governed by Eqs. (24a) and (24b), i.e. DM2 DM 11 , and
gives the ratio of mass change occurring during the half-reactions.
4.2. Experimental design
This quantity was extracted from QCM pulse shapes given by
Elam and George (2003) and determined to be n ¼ 1:37.
The operating conditions used in this investigation are listed in
However, the reaction mechanism defined in Eqs. (24a) and
Table 1. The experimental design was intended to address the
(24b) cannot explain the sharp decrease in mass increment per
interdependence of the pulse duration of the adsorptive precur-
cycle at elevated temperatures, which was observed experimen-
sors, Dt ZnðC2 H5 Þ2 A ½1:0,18:0  101 s and Dt H2 O A ½1:0,4:0  101 s,
tally at constant adsorption energy, Eads . This phenomenon was
and the temperature, T A ½1:0,2:0  102 1C, on the spatial depen-
assumed to be a consequence of the gradual decrease in the
dence of the film deposition rate. Using this approach, parameter
density of the surface hydroxyl groups, in accordance with
estimation can be carried out over a wide region defining the
Deminsky et al. (2004), Matero et al. (2000), and Rahtu et al.
model’s region of validity, including the ALD processing window
(2001), through the recombination reaction:
(Yousfi et al., 2000) and considers both saturated and non-
ð3Þ 2ðOHÞ/sS!ðOÞ/sSþ H2 O/gS ð24cÞ saturated (Park et al., 2000) deposition.
268 A. Holmqvist et al. / Chemical Engineering Science 81 (2012) 260–272

Table 1
Outline of the experimental design. The observed system response, y^ (Å cycle  1), contains ex situ film thickness measurements by XRF at the sampling positions
f^ ¼ ½16 , 36 , 56  9Gsub 9 (m) on the substrate. The ALD pulse sequence considered for growth of ZnO was the following ðDtZnðC2 H5 Þ2 , DtN2 , DtH2 O , DtN2 Þ including a nitrogen purge
DtN2 ¼ 4:0  101 s between the non-overlapping alternate injections of the precursors for all cases E. All experiments were performed with the process operating
parameters: /pS9Gout ¼ 2:0  102 Pa, ½Q_ ZnðC2 H5 Þ2 , Q_ H2 O, Q_ N  ¼ ½0:11,0:16,5:0  102 sccm [standard cubic centimeters per minute at STP].
2

E DtZnðC2 H5 Þ2  101 ðsÞ DtH2 O101 ðsÞ T  102 ^ z^ ¼ ð1=6Þ9G


y9 ^ z^ ¼ ð3=6Þ9G
y9 ^ z^ ¼ ð5=6Þ9G
y9 UF y^
sub 9 sub 9 sub 9
(1C) (%)
(Å cycle  1) (Å cycle  1) (Å cycle  1)

1 2.0 4.0 1.0 0.931 0.923 0.860 97.1


2a 4.0 4.0 1.0 1.12 1.06 0.941 94.7
3 6.0 4.0 1.0 1.30 1.14 1.08 93.8
4 12.0 4.0 1.0 1.67b 1.37 1.18 90.1
5 18.0 4.0 1.0 2.23b 1.54 1.39 94.7
6 6.0 1.0 1.0 1.05 0.893 0.843 92.3
7 6.0 2.0 1.0 1.17 1.05 0.916 93.7
8 6.0 4.0 1.0 1.30 1.14 1.08 93.8

9 1.0 4.0 1.5 1.84 1.72 1.64 96.8


10 2.0 4.0 1.5 2.04 1.84 1.83 95.7
11a 4.0 4.0 1.5 2.13 2.05 2.05 98.4
12 6.0 4.0 1.5 2.60b 2.15 2.07 98.3
13 12.0 4.0 1.5 2.62b 2.22 2.25 99.0
14 6.0 1.0 1.5 2.06 1.97 1.90 97.8
15 6.0 2.0 1.5 2.28 2.05 2.09 95.7
16 6.0 4.0 1.5 2.60b 2.15 2.07 98.4

17 1.0 4.0 2.0 1.83 1.73 1.74 97.7


18 2.0 4.0 2.0 1.88 1.76 1.80 97.5
19 4.0 4.0 2.0 1.95 1.82 1.76 96.8
20 6.0 4.0 2.0 1.95 1.94 1.89 98.9
21 12.0 4.0 2.0 1.97 1.93 1.91 99.1
22 6.0 1.0 2.0 1.86 1.83 1.80 99.0
23a 6.0 2.0 2.0 1.88 1.84 1.84 99.1
24 6.0 4.0 2.0 1.95 1.94 1.89 99.0

a
Experimental validation set.
b
Detected experimental outlier.

A general characteristic feature of cross-flow reactors is that


the trailing edge of the substrate is exposed to more depleted
reacting precursor flow than the leading edge, and the film
deposition rate is consequently not uniform across the substrate
(Granneman et al., 2007). For this reason, every Eth calibration and
validation data set, y^ E 9f^ (Å cycle  1), listed in Table 1 contains film
thickness measurements at three spatially equidistant sampling
positions, f^ ¼ ½16 , 36 , 56  9Gsub 9 (m), on the substrate as depicted in
Fig. 5. Thus, it is convenient to classify the experiments on the
basis of the film uniformity across the substrate. A uniformity
factor (Cheng and Hsiao, 2008), UF, that relates the absolute
deviation from the mean film height, is defined as
Z Z 1
UF ¼ 1 9hs ðzÞh s ðzÞ9@z hs ðzÞ@z ð26Þ
Gsub Gsub

where hs ðzÞ is the normalized film height, h s ðzÞ is the correspond-


ing mean value, and z A ½0,9Gsub 9 is the substrate boundary Gsub
local coordinate variable (see Fig. 4). The uniformity factor for
every Eth experimental data set determined from Eq. (26) is listed
in Table 1 and fall within the range UF y^ A ½90:1,99:1%.
Fig. 5. Spatial film thickness profile as a function of the substrate local coordinate
variable z A ½0,9Gsub 9. (  ) Representative model generated film thickness hs ðzÞ
4.3. Instrumentation and data preprocessing
(Å cycle  1); (– –) Model generated mean film thickness h s ðzÞ (Å cycle  1); ðJÞ
Model generated film thickness hs ðzÞ9f^ (Å cycle  1) at the sampling positions
The instrumentation used for film characterization and the
data preprocessing methodology are identical to that of Törndahl f^ ¼ ½16 , 36 , 56  9Gsub 9 (m) where the experimental measurements were conducted.
The colored area represents the absolute deviation from the mean film hight
et al. (2007); the reader is referred to that paper for further R
details. The ex situ thickness measurements of the ZnO films Gsub 9hs ðzÞh s ðzÞ9@G (cf. Eq. (26)).

deposited on SLG substrates were performed using X-ray reflec-


tivity (XRR) (Siemens D5000 X-ray powder diffractometer, experimental XRR data in the range of N Dt A ½1:25,5:0  102
equipped with a slit system) at the centre sampling position complete ALD cycles ðDt ¼ Dt ZnðC2 H5 Þ2 þ2Dt N2 þ Dt H2 O Þ using the
(z^ ¼ 36 9Gsub 9 (m)) on the substrate. In accordance with Burton WinGixa software package (Leenaers and de Boer, 1998). The
et al. (2009), the thickness was calibrated independently from the film thickness, y~ E 9z^ ¼ ð3=6Þ9G 9 (Å), obtained from the fits to XRR
sub
A. Holmqvist et al. / Chemical Engineering Science 81 (2012) 260–272 269

maintained constant at Dt N2 ¼ 4:0  101 s for consistency. It is


therefore plausible that the outliers on the leading edge of the
substrates were caused by insufficient N2 purge (Jur and Parsons,
2011), resulting in simultaneous presence of both precursors in
the gas phase, which enables regular CVD growth with a higher
growth rate than in true ALD (Elers et al., 2006).

5. Modelling environment

s
COMSOL Multiphysics (COMSOL AB, 2008b), a finite element
analysis, solver and simulation software package for encoding of
complex and coupled physical systems aimed at simulation and
offers an extensive interface to MATLAB s (The MathWorks, Inc,
2010a) and its toolboxes is used for reactor modelling. The
programming language also allows for entering coupled systems
of PDEs in either strong form or weak form. To solve transient
s
PDEs, COMSOL Multiphysics employs the method of lines
(Davis, 1984; Schiesser, 1991) which proceeds by first discretizing
the spatial domain O into finite elements (Zienkiewicz and Taylor,
2000a,b). The associated system of DAEs is solved by the IDA
Fig. 6. Preprocessing of experimental XRR data for various numbers of ZnO solver in the SUNDIALS package (Hindmarsh et al., 2005). The DAE
ALD cycles N Dt ¼ ½1:25,2:5,3:75,5:0  102 cycle at T ¼ ½1:0,1:5,2:0  102 1C on solver applies a variable-order variable-step-size backward dif-
SLG substrates. XRR film thickness data, y~ E 9z^ ¼ ð3=6Þ9G 9 Å, at the sampling position ferentiation formulas and solves the system of nonlinear equa-
sub

z^ ¼ 36 9Gsub 9 (m) and at: ðJÞ T ¼ 1:0  102 1C; (&) T ¼ 1:5  102 1C; ð,Þ tions at each time step, using a Newton LU solver, which in turn,
T ¼ 2:0  102 1C; (–) linear fit, dy~ E 9z^ ¼ ð3=6Þ9G ðdNDt Þ1 (Å cycle  1), to XRR film utilizes the UMFPACK library.
sub 9

thickness data. The process operating parameters are analogous to those defined The derived mathematical model of complete two-way coupling
in Table 1 and ½Dt ZnðC2 H5 Þ2 , Dt N2 , Dt H2 O , Dt N2  ¼ ½6:0,4:0,4:0,4:0  101 s. of the governing equations will be solved numerous times in the
calibration procedure, see Holmqvist et al., (in press), and it is
therefore important to improve the computational efficiency. In this
data as a function NDt is depicted in Fig. 6. The dependence of context, the underlying flow problem (Eqs. (1) and (3)) was
y~ E 9z^ ¼ 36 9Gsub 9 on NDt is consistent with constant growth per cycle stabilized by the Galerkin least-squares scheme (Hauke, 2001;
Hauke and Hughes, 1994), which circumvents the Ladyzhenskaya–
(GPC) of dy~ E 9z^ ¼ ð3=6Þ9G ðdN Dt Þ1 ¼ ½1:2,2:1,1:9 (Å cycle  1) at
sub 9 Babuška–Brezzi condition, such that equal order velocity and
T ¼ ½1:0,1:5,2:0  102 1C, respectively. It is noteworthy that only pressure elements can be used to reduce the computational cost.
this quasi-steady state growth regime is considered in this In order to overcome the numerical instabilities arising from do-
experimental investigation and, consequently, elementary surface minant convection times in the convection–diffusion equations
reactions of the initial regime (Puurunen, 2004), in which (Eq. (4)), and to avoid using an extremely fine mesh, the Streamline
2 2 Upwind Petrov–Galerkin method (Codina, 1998) was added to the
d y~ E 9z^ ¼ ð3=6Þ9G 9 ðdN Dt Þ1 a0, were not developed in Section 3.5.
sub
basic Galerkin formulation. A mesh independence test was carried
The relative thickness or amount of deposited material per
out to determine the appropriate mesh size. Approximately 1:5 
unit area at the sampling positions f^ ¼ ½16 , 36 , 56  9Gsub 9 (m) on the
103 elements were needed to obtain grid-independent solutions
substrate was measured by energy dispersive X-ray fluorescence
with second-order Lagrange elements for all fields except the pres-
spectrometry (XRF) (Spectro X-Lab 2000, using Mo-target for Zn
sure and the velocity field, for which first-order elements sufficed.
excitation). The absolute thickness determination using XRF was
obtained by integration of the net peak intensity for the selected
element of the spectrum, and the extracted GPC at z^ ¼ 36 9Gsub 9 6. Concluding remarks
(m) of the XRR analysis served as the required calibration data.
The sampled spatially dependent film thickness profiles, for the In this paper, a novel mathematical model that mechanistically
operating conditions specified in Section 4.2, are listed in Table 1 describes the complex interactions between the gas-phase fluid
and constitutes the observed system response, y, ^ in the model dynamics, the mass transport of individual species, and the hetero-
calibration and validation procedure (see Holmqvist et al., in press). geneous surface reaction mechanism in a continuous cross-flow ALD
The experimental measurement data, y^ E 9z^ ¼ ð1=6Þ9G 9 and reactor was derived. The overall objective of the model, oriented
sub
E A f4,5,12,13,16g, identified as outliers are denoted by super- towards optimization and control, was to accurately predict the
scripts in Table 1. It is noteworthy that the detected outliers were dynamic response of the substrate spatially dependent film thick-
all belonging to the sampling position closest to the leading ness profile to the variations of all the measured equipment-scale
edge of the substrate (cf. Fig. 5), and associated with analogous process operating parameters. The general formalism of the math-
deposition conditions; including T r 150 1C and long precursor ematical model is valid for all spatial dimensions applied to arbitrary
pulse lengths. Under such conditions, the precursor coverage by geometries, for an arbitrary number of gaseous and surface coverage
adsorption on internal surfaces of the reactor chamber (main- species, and for any process chemistry, thereby providing a frame-
tained at the substrate temperature) may be enhanced by higher work for model-based process design and scalability.
precursor exposures. At the same time, the rate of precursor A contribution of this paper is the detailed discussion pre-
desorption from the same surfaces decreased with decreasing sented on the multi-scale nature of the ALD process and the
deposition temperature (Groner et al., 2004). Thus, the coherent associated mathematical coupling of reactor gas transport to
phenomena will ultimately put restrictions on significantly longer heterogeneous surface reactions. The incorporation of the devel-
purge periods. However, in this study, the N2 purge times were oped ALD gas–surface reaction mechanism; purely based on
270 A. Holmqvist et al. / Chemical Engineering Science 81 (2012) 260–272

consecutive and parallel elementary Eley–Rideal reaction steps; yk fractional surface coverage of surface species,
into the CFD representation of the equipment-scale, successfully dimensionless
related local gas-phase conditions in the vicinity of the substrate L maximum molar concentration of surface sites, mol m  2
surface to the transient production and consumption of the m dynamic viscosity of the gas mixture, Pa s
fractional surface coverage of chemisorbed species; ultimately xi surface reaction stoichiometric coefficient, dimensionless
underlying epitaxial film growth. r density of the gas mixture, kg m  3
A comprehensive experimental investigation on deposition of p molecular momentum flux tensor, Pa
ZnO films from Zn(C2H5)2 and H2O in the continuous cross-flow n number of surface OH groups reacting with each
reactor system F-120 by ASM Microchemistry Ltd. (Suntola, 1992) Zn(C2H5)2, dimensionless
has been carried out. The experimental results reported here, Pa characteristic function of t and Dt a , dimensionless
underscore the importance of substrate-scale uniformity mea-
ca molar fraction of gaseous species, dimensionless
surements in developing ALD process models. The conducted
O spatial domain, dimensionless
ex situ X-ray reflectivity and X-ray fluorescence film thickness
oa mass fraction of gaseous species, dimensionless
measurements at a discrete set of sampling positions on the
substrate are to be used for parameter estimation of the Arrhenius Subscripts and superscripts
kinetic parameters involved in the derived heterogeneous ALD
gas–surface reaction mechanism governing ZnO film growth. The 0 initial value
formulation and solution to a nonlinear parameter estimation a, b gaseous species indices
problem and an extensive simulation study on the ALD process entrentrance
are presented in the second article of this series (Holmqvist et al., g Dirichlet boundary condition
in press). h Neumann boundary condition
i surface reaction index
E calibration and validation set index
Nomenclature k surface species index
STP state at the standard temperature and pressure
Roman letters s solid
ref state at the reference temperature
Ai frequency factor in the Arrhenius equation,
ðmol m2 Þ1nads,i Pa1 s1 ,ðmol m2 Þ1ndes,i s1
Da b Maxwell–Stefan multicomponent diffusivity, m2 s1
Acknowledgment
Dab Fick multicomponent diffusivity, m2 s1
Dab binary diffusivity, m2 s1 This work has been supported by the Swedish Research
Ei activation energy, J mol
1
Council under Grant no. 2006-3738. The authors would like to
f body force vector, N m3 thank Dr. Jun Lu for the TEM micrograph of the CIS solar cell
hs film height, m device.
ja diffusive mass flux vector, kg m2 s1
ki reaction rate constant, ðmol m2 Þ1nads,i Pa1 s1 , References
ðmol m2 Þ1ndes,i s1
Ma molar mass, kg mol  1 Aarik, J., Aidla, A., Jaek, A., Leskela, M., Niinisto, L., 1994a. In situ study of a
strontium b-diketonate precursor for thin-film growth by atomic layer
ms film mass increment, kg m  2 epitaxy. J. Mater. Chem. 4, 1239–1244.
n outward unit vector normal to the boundary, Aarik, J., Aidla, A., Kasikov, A., Mändar, H., Rammula, R., Sammelselg, V., 2006.
dimensionless Influence of carrier gas pressure and flow rate on atomic layer deposition of
HfO2 and ZrO2 thin films. Appl. Surf. Sci. 252 (16), 5723–5734.
ni surface reaction adsorption and desorption order,
Aarik, J., Aidla, A., Kukli, K., 1994b. In situ characterization of ALE growth by
dimensionless reagent pulse delay times in a flow-type reactor. Appl. Surf. Sci. 75 (1–4),
p pressure, Pa 180–184.
Aarik, J., Siimon, H., 1994. Characterization of adsorption in flow type atomic layer
Q_ a volumetric flow rate at STP, N m3 s1 epitaxy reactor. Appl. Surf. Sci. 81 (3), 281–287.
R 1
universal gas constant, J mol K1 Adomaitis, R.A., 2010. Development of a multiscale model for an atomic layer
deposition process. J. Cryst. Growth 312 (8), 1449–1452.
ri surface reaction rate, mol m2 s1 Adomaitis, R.A., 2011. A ballistic transport and surface reaction model for
Sa source term in the general transport equation, simulating atomic layer deposition processes in high-aspect-ratio nanopores.
kg m3 s1 Chem. Vapor Deposition 17 (10–12), 353–365.
Alam, M.A., Green, M.L., 2003. Mathematical description of atomic layer deposition
T temperature, K and its application to the nucleation and growth of HfO2 gate dielectric layers.
t unit vector tangential to the boundary, dimensionless J. Appl. Phys. 94 (5), 3403–3413.
t time, s Bakke, J.R., Pickrahn, K.L., Brennan, T.P., Bent, S.F., 2011. Nanoengineering and
interfacial engineering of photovoltaics by atomic layer deposition. Nanoscale
UF film thickness uniformity factor, dimensionless 3, 3482–3508.
v velocity vector, m s  1 Baunemann, A., 2006. Precursor Chemistry of Tantalum and Niobium Nitride for
y measured state variables, dimensionless MOCVD and ALD Applications. Ph.D. Thesis, Ruhr-University, Bochum.
Bird, R.B., Stewart, W.E., Lightfoot, E.N., 1960. Transport Phenomena, 2nd edition
z spatial coordinate, m John Wiley & Sons, Inc., New York.
Burton, B.B., Goldstein, D.N., George, S.M., 2009. Atomic layer deposition of MgO
Greek letters using bis(ethylcyclopentadienyl)magnesium and H2O. J. Phys. Chem. C 113 (5),
1939–1946.
b calibration parameter vector, dimensionless Cale, T.S., 1991. Flux distributions in low pressure deposition and etch models.
J. Vac. Sci. Technol. B 9 (5), 2551–2553.
G portioned boundary, dimensionless Cale, T.S., Raupp, G.B., 1990. Free molecular transport and deposition in cylindrical
Dt a pulse duration, s features. J. Vac. Sci. Technol. B 8 (4), 649–655.
Cheng, T.S., Hsiao, M.C., 2008. Numerical investigations of geometric effects on
d unity tensor, dimensionless
flow and thermal fields in a horizontal CVD reactor. J. Cryst. Growth 310 (12),
z substrate boundary Gsub local coordinate variable, m 3097–3106.
A. Holmqvist et al. / Chemical Engineering Science 81 (2012) 260–272 271

Cleveland, E.R., Henn-Lecordier, L., Rubloff, G.W., 2012. Role of surface intermedi- Jur, J.S., Parsons, G.N., 2011. Atomic layer deposition of Al2O3 and ZnO at atmo-
ates in enhanced, uniform growth rates of TiO2 atomic layer deposition thin spheric pressure in a flow tube reactor. ACS Appl. Mater. Interfaces 3 (2),
films using titanium tetraisopropoxide and ozone. J. Vac. Sci. Technol. A 30 (1), 299–308.
01A150. Kim, H., 2003. Atomic layer deposition of metal and nitride thin films: current
Codina, R., 1998. Comparison of some finite element methods for solving the research efforts and applications for semiconductor device processing. J. Vac.
diffusion–convection–reaction equation. Comput. Methods Appl. Mech. Eng. Sci. Technol. B 21 (6), 2231–2261.
156 (1–4), 185–210. Kim, H., Lee, H.-B.-R., Maeng, W.J., 2009. Applications of atomic layer deposition
COMSOL AB, 2008a. COMSOL Multiphysics Reference Guide, Version 3.5a. COMSOL to nanofabrication and emerging nanodevices. Thin Solid Films 517 (8),
AB, Tegnérgatan 23, Stockholm. 2563–2580.
COMSOL AB, 2008b. COMSOL Multiphysics User’s Guide, Version 3.5a. COMSOL AB, Kleijn, C.R., Dorsman, R., Kuijlaars, K.J., Okkerse, M., van Santen, H., 2007. Multi-
Tegnérgatan 23, Stockholm. scale modeling of chemical vapor deposition processes for thin film technol-
Curtiss, C., Bird, R., 1999. Molecular interactions on surfaces. Ind. Eng. Chem. Res. ogy. J. Cryst. Growth 303 (1), 362–380.
38 (7), 2515–2522. Knoops, H.C.M., Elam, J.W., Libera, J.A., Kessels, W.M.M., 2011. Surface loss in
Davis, M.E., 1984. Numerical Methods and Modeling for Chemical Engineers, 1st ozone-based atomic layer deposition processes. Chem. Mater. 23 (9),
edition John Wiley & Sons, Inc., New York. 2381–2387.
Deminsky, M., Knizhnik, A., Belov, I., Umanskii, S., Rykova, E., Bagatur’yants, A., Kuijlaars, K.J., Kleijn, C.R., van den Akker, H.E.A., 1995. Multi-component diffusion
et al., 2004. Mechanism and kinetics of thin zirconium and hafnium oxide film phenomena in multiple-wafer chemical vapour deposition reactors. Chem.
growth in an ALD reactor. Surf. Sci. 549 (1), 67–86. Eng. J. Biochem. Eng. J. 57 (2), 127–136.
Elam, J.W., George, S.M., 2003. Growth of ZnO/Al2O3 alloy films using atomic layer Lankhorst, A.M., Paarhuis, B.D., Terhorst, H.J.C.M., Simons, P.J.P.M., Kleijn, C.R.,
deposition techniques. Chem. Mater. 15 (4), 1020–1028. 2007. Transient ALD simulations for a multi-wafer reactor with trenched
Elam, J.W., Groner, M.D., George, S.M., 2002a. Viscous flow reactor with quartz wafers. Surf. Coatings Technol. 201 (22–23), 8842–8848.
crystal microbalance for thin film growth by atomic layer deposition. Rev. Sci. Leenaers, A., de Boer, D., 1998. WinGixa Software and User Manual. PHILIPS
Instrum. 73 (8), 2981–2987. Analytical X-ray B.V., Lekyweg 1, 7602 EA, Almelo.
Elam, J.W., Sechrist, Z.A., George, S.M., 2002b. ZnO/Al2O3 nanolaminates fabricated Lei, W., Henn-Lecordier, L., Anderle, M., Rubloff, G.W., Barozzi, M., Bersani, M.,
by atomic layer deposition: growth and surface roughness measurements. 2006. Real-time observation and optimization of tungsten atomic layer
Thin Solid Films 414 (1), 43–55. deposition process cycle. J. Vac. Sci. Technol. B 24 (2), 780–789.
Elers, K.E., Blomberg, T., Peussa, M., Aitchison, B., Haukka, S., Marcus, S., 2006. Leskelä, M., Ritala, M., 2003. Atomic layer deposition chemistry: recent develop-
Film uniformity in atomic layer deposition. Chem. Vapor Deposition 12 (1), ments and future challenges. Angew. Chem. Int. Ed. 42 (45), 5548–5554.
13–24. Lim, J.-W., Park, H.-S., Kang, S.-W., 2000a. Analysis of a transient region during the
Elliott, S.D., 2007. Models for ald and mocvd growth of rare earth oxides. In: initial stage of atomic layer deposition. J. Appl. Phys. 88 (11), 6327–6331.
Fanciulli, M., Scarel, G. (Eds.), Rare Earth Oxide Thin Films. Springer, Berlin, Lim, J.-W., Park, H.-S., Kang, S.-W., 2001. Kinetic modeling of film growth rate in
Heidelberg, New York, pp. 73–86. atomic layer deposition. J. Electrochem. Soc. 148 (6), C403–C408.
Elliott, S.D., Greer, J.C., 2004. Simulating the atomic layer deposition of alumina Lim, J.-W., Park, J.-S., Kang, S.-W., 2000b. Kinetic modeling of film growth rates of
from first principles. J. Mater. Chem. 14 (21), 3246–3250. TiN films in atomic layer deposition. J. Appl. Phys. 87 (9), 4632–4634.
Fan, J.-F., Toyoda, K., 1992. Self-limiting behavior of the growth of Al2O3 using Masel, R.I., 1996. Principle of Adsorption and Reaction on Solid Surface, 1st edition
John Wiley & Sons, Inc., New York.
sequential vapor pulses of TMA and H2O2. Appl. Surf. Sci. 60–61, 765–769.
Matero, R., Rahtu, A., Ritala, M., Leskelä, M., Sajavaara, T., 2000. Effect of water dose
Ferguson, J.D., Weimer, A.W., George, S.M., 2005. Surface chemistry and infrared
on the atomic layer deposition rate of oxide thin films. Thin Solid Films 368
absorbance changes during ZnO atomic layer deposition on ZrO2 and BaTiO3
(1), 1–7.
particles. J. Vac. Sci. Technol. A 23 (1), 118–125.
Mazaleyrat, G., Este ve, A., Jeloaica, L., Djafari-Rouhani, M., 2005. A methodology for
George, S.M., 2010. Atomic layer deposition: an overview. Chem. Rev. 110 (1),
the kinetic Monte Carlo simulation of alumina atomic layer deposition onto
111–131.
silicon. Comput. Mater. Sci. 33 (1–3), 74–82.
Gobbert, M.K., Prasad, V., Cale, T.S., 2002a. Modeling and simulation of atomic
Naghavi, N., Abou-Ras, D., Allsop, N., Barreau, N., Bücheler, S., Ennaoui, A., et al.,
layer deposition at the feature scale. J. Vac. Sci. Technol. B 20 (3), 1031–1043.
2010. Buffer layers and transparent conducting oxides for chalcopyrite
Gobbert, M.K., Webster, S.G., Cale, T.S., 2002b. Transient adsorption and desorption
Cu(In,Ga)(S,Se)2 based thin film photovoltaics: present status and current
in micrometer scale features. J. Electrochem. Soc. 149 (8), G461–G473.
developments. Progr. Photovoltaics: Res. Appl. 18 (6), 411–433.
Gordon, R.G., Hausmann, D., Kim, E., Shepard, J., 2003. A kinetic model for step
Naghavi, N., Renou, G., Bockelee, V., Donsanti, F., Genevee, P., Jubault, M., et al.,
coverage by atomic layer deposition in narrow holes or trenches. Chem. Vapor
2011. Chemical deposition methods for Cd-free buffer layers in CI(G)S solar
Deposition 9 (2), 73–78.
cells: role of window layers. Thin Solid Films 519 (21), 7600–7605.
Granneman, E., Fischer, P., Pierreux, D., Terhorst, H., Zagwijn, P., 2007. Batch ALD:
Ott, A.W., Chang, R.P.H., 1999. Atomic layer-controlled growth of transparent
characteristics, comparison with single wafer ALD, and examples. Surf. Coat.
conducting ZnO on plastic substrates. Mater. Chem. Phys. 58 (2), 132–138.
Technol. 201 (22–23), 8899–8907.
Özgur, U., Alivov, Y.I., Liu, C., Teke, A., Reshchikov, M.A., Doǧan, S., et al., 2005. A
Groner, M.D., Fabreguette, F.H., Elam, J.W., George, S.M., 2004. Low-temperature
comprehensive review of ZnO materials and devices. J. Appl. Phys. 98 (4),
Al2O3 atomic layer deposition. Chem. Mater. 16 (4), 639–645.
041301.
Hangos, K.M., Cameron, I.T., 2001. Process modelling and model analysis. In: Park, H.-S., Min, J.-S., Lim, J.-W., Kang, S.-W., 2000. Theoretical evaluation of film
Stephanopoulos, G., Perkins, J. (Eds.), Process Systems Engineering, vol. 4. growth rate during atomic layer epitaxy. Appl. Surf. Sci. 158, 81–91.
Academic Press, London. Platzer-Björkman, C., Lu, J., Kessler, J., Stolt, L., 2003. Interface study of CuInSe2/
Hauke, G., 2001. Simple stabilizing matrices for the computation of compressible ZnO and Cu(In,Ga)Se2/ZnO devices using ALD ZnO buffer layers. Thin Solid
flows in primitive variables. Comput. Methods Appl. Mech. Eng. 190 (51–52), Films 431–432, 321–325.
6881–6893. Platzer-Björkman, C., Törndahl, T., Abou-Ras, D., Malmström, J., Kessler, J., Stolt, L.,
Hauke, G., Hughes, T.J.R., 1994. A unified approach to compressible and incom- 2006. Zn(O,S) buffer layers by atomic layer deposition in Cu(In,Ga)Se2 based
pressible flows. Comput. Methods Appl. Mech. Eng. 113 (3–4), 389–395. thin film solar cells: band alignment and sulfur gradient. J. Appl. Phys. 100 (4),
Henn-Lecordier, L., Anderle, M., Robertson, E., Rubloff, G.W., 2011. Impact of 044506.
parasitic reactions on wafer-scale uniformity in water-based and ozone-based Poodt, P., Cameron, D.C., Dickey, E., George, S.M., Kuznetsov, V., Parsons, G.N., et al.,
atomic layer deposition. J. Vac. Sci. Technol. A 29 (5), 051509. 2012. Spatial atomic layer deposition: a route towards further industrializa-
Heyman, A., Musgrave, C.B., 2004. A quantum chemical study of the atomic layer tion of atomic layer deposition. J. Vac. Sci. Technol. A 30 (1), 010802.
deposition of Al2O3 using AlCl3 and H2O as precursors. J. Phys. Chem. B 108 Puurunen, R.L., 2003. Growth per cycle in atomic layer deposition: a theoretical
(18), 5718–5725. model. Chem. Vapor Deposition 9 (5), 249–257.
Hindmarsh, A.C., Brown, P.N., Grant, K.E., Lee, S.L., Serban, R., Shumaker, D.E., et al., Puurunen, R.L., 2004. Analysis of hydroxyl group controlled atomic layer deposi-
2005. SUNDIALS: suite of nonlinear and differential/algebraic equation solvers. tion of hafnium dioxide from hafnium tetrachloride and water. J. Appl. Phys.
ACM Trans. Math. Software 31 (3), 363–396. 95 (9), 4777–4786.
Hirschfelder, J.O., Curtiss, C.F., Bird, R.B., 1964. Molecular Theory of Gases and Puurunen, R.L., 2005. Surface chemistry of atomic layer deposition: a case study
Liquids, 2nd edition John Wiley & Sons, Inc., New York. for the trimethylaluminum/water process. J. Appl. Phys. 97 (12), 121301.
Holmqvist, A., Törndahl, T., Stenström, S. A model-based methodology for the Puurunen, R.L., Vandervorst, W., 2004. Island growth as a growth mode in atomic
analysis and design of atomic layer deposition processes—Part II: Experi- layer deposition: a phenomenological model. J. Appl. Phys. 96 (12),
mental validation and mechanistic analysis. Chem. Eng. Sci. http://dx.doi.org/ 7686–7695.
10.1016/j.ces.2012.06.063, in press. Puurunen, R.L., Vandervorst, W., Besling, W.F.A., Richard, O., Bender, H., Conard, T.,
Hultqvist, A., Edoff, M., Törndahl, T., 2011. Evaluation of Zn–Sn–O buffer layers for Zhao, C., et al., 2004. Island growth in the atomic layer deposition of zirconium
CuIn0.5Ga0.5Se2 solar cells. Progr. Photovoltaics: Res. Appl. 19 (4), 478–481. oxide and aluminum oxide on hydrogen-terminated silicon: growth mode
Hultqvist, A., Platzer-Björkman, C., Zimmermann, U., Edoff, M., Törndahl, T. Growth modeling and transmission electron microscopy. J. Appl. Phys. 96 (9),
kinetics, properties, performance, and stability of atomic layer deposition Zn– 4878–4889.
Sn–O buffer layers for Cu(In,Ga)Se2 solar cells. Progr. Photovoltaics: Res. Appl. Rahtu, A., Alaranta, T., Ritala, M., 2001. In situ quartz crystal microbalance and
http://dx.doi.org/10.1002/pip.1153, in press. quadrupole mass spectrometry studies of atomic layer deposition of alumi-
Juppo, M., Rahtu, A., Ritala, M., Leskelä, M., 2000. In situ mass spectrometry study num oxide from trimethylaluminum and water. Langmuir 17 (21), 6506–6509.
on surface reactions in atomic layer deposition of Al2O3 thin films from Rahtu, A., Ritala, M., 2002. Reaction mechanism studies on the zirconium chloride-
trimethylaluminum and water. Langmuir 16 (8), 4034–4039. water atomic layer deposition process. J. Mater. Chem. 12 (5), 1484–1489.
272 A. Holmqvist et al. / Chemical Engineering Science 81 (2012) 260–272

Reid, R.C., Prausnitz, J.M., Poling, B.E., 1988. The Properties of Gases and Liquids, Törndahl, T., Coronel, E., Hultqvist, A., Platzer-Björkman, C., Leifer, K., Edoff, M.,
4th edition McGraw-Hill, New York. 2009. The effect of Zn1x Mgx O buffer layer deposition temperature on
Ritala, M., Leskelä, M., 2002. Handbook of Thin Film Materials, vol. 1. Academic CuðIn,GaÞSe2 solar cells: a study of the buffer/absorber interface. Progr.
Press, New York. Photovoltaics: Res. Appl. 17 (2), 115–125.
Schiesser, W.E., 1991. The Numerical Method of Lines: Integration of Partial Törndahl, T., Platzer-Björkman, C., Kessler, J., Edoff, M., 2007. Atomic layer deposition
Differential Equations, 1st edition Academic Press, San Diago. of Zn1x Mgx O buffer layers for Cu(In,Ga)Se2 solar cells. Progr. Photovoltaics: Res.
Schwaab, M., Lemos, L.P., Pinto, J.C., 2008. Optimum reference temperature for Appl. 15 (3), 225–235.
reparameterization of the Arrhenius equation. Part 2: problems involving Triboulet, R., Perriére, J., 2003. Epitaxial growth of ZnO films. Progr. Cryst. Growth
multiple reparameterizations. Chem. Eng. Sci. 63 (11), 2895–2906. Charact. Mater. 47 (2–3), 65–138.
Schwaab, M., Pinto, J.C., 2007. Optimum reference temperature for reparameter- Wei, D.H., Skelton, D.C., Kevan, S.D., 1994. Molecular interactions on surfaces.
ization of the Arrhenius equation. Part 1: problems involving one kinetic J. Vac. Sci. Technol. A 12 (4), 2029–2036.
constant. Chem. Eng. Sci. 62 (10), 2750–2764. Wesselingh, J., Krishna, R., 2000. Mass Transfer in Multicomponent Mixtures, 1st
Schwaab, M., Pinto, J.C., 2008. Optimum reparameterization of power function edition Delft University Press, Delft.
models. Chem. Eng. Sci. 63 (18), 4631–4635. Wilke, C.R., 1950. A viscosity equation for gas mixtures. J. Chem. Phys. 18 (4), 517–519.
Semiconductor Industry Association, 2011. Modeling and simulations. In: The Yanguas-Gil, A., Elam, J.W., 2012. Simple model for atomic layer deposition
International Technology Roadmap for Semiconductors, 2011th edition, San precursor reaction and transport in a viscous-flow tubular reactor. J. Vac. Sci.
Jose, CA, pp. 1–45 [Online]. Available: /http://public.itrs.net/reports.htmlS. Technol. A 30 (1), 01A159.
Siimon, H., Aarik, J., 1995. Modelling of precursor flow and deposition in atomic Yim, S.-S., Lee, D.-J., Kim, K.-S., Kim, S.-H., Yoon, T.-S., Kim, K.-B., 2008. Nucleation
layer deposition reactor. J. Phys. IV 5 (C5), 245–252. kinetics of Ru on silicon oxide and silicon nitride surfaces deposited by atomic
Siimon, H., Aarik, J., 1997. Thickness profiles of thin films caused by secondary layer deposition. J. Appl. Phys. 103 (11), 113509.
reactions in flow-type atomic layer deposition reactors. J. Phys. D 30 (12), Ylilammi, M., 1995. Mass transport in atomic layer deposition carrier gas reactors.
1725–1728. J. Electrochem. Soc. 142 (7), 2474–2479.
Sneh, O., Clark-Phelps, R.B., Londergan, A.R., Winkler, J., Seidel, T.E., 2002. Thin film Ylilammi, M., 1996. Monolayer thickness in atomic layer deposition. Thin Solid
atomic layer deposition equipment for semiconductor processing. Thin Solid Films 279 (1–2), 124–130.
Films 402 (1–2), 248–261. Yousfi, E.B., Fouache, J., Lincot, D., 2000. Study of atomic layer epitaxy of zinc oxide
Suntola, T., 1992. Atomic layer epitaxy. Thin Solid Films 216 (1), 84–89. by in-situ quartz crystal microgravimetry. Appl. Surf. Sci. 153 (4), 223–234.
The MathWorks, Inc, 2010a. MATLAB R2010b Documentation, Version 7.11. The Zienkiewicz, O.C., Taylor, R.L., 2000a. The Finite Element Method—Fluid Dynamics,
MathWorks, Inc, 3 Apple Hill Drive, Natick, MA. vol. 3, 5th edition Butterworth-Heinemann, Oxford.
The MathWorks, Inc, 2010b. Optimization Toolbox TM User’s Guide, Version 7.11. Zienkiewicz, O.C., Taylor, R.L., 2000b. The Finite Element Method—The Basis, vol.
The MathWorks, Inc, 3 Apple Hill Drive, Natick, MA. 1, 5th edition Butterworth-Heinemann, Oxford.

You might also like