You are on page 1of 21

La boucle à

verrouillage
de phase

jean-philippe muller

version juillet 2001


La boucle à verrouillage de phase

Sommaire

1. Principe de la boucle à verrouillage de phase


2. L’oscillateur commandé en tension
3. Le comparateur de phase à OU exclusif
4. Le comparateur phase-fréquence à pompe de charge
5. Le comparateur de phase à mélangeur
6. Fonctionnement général de la boucle
7. Schéma fonctionnel de la boucle
8. Précision de l’asservissement
9. Utilisation d’un filtre de boucle du premier ordre
10. Utilisation d’un filtre de boucle du 2ème ordre
11. Régime transitoire et amortissement
12. Utilisation d’un 2ème ordre avec pompe de charge
13. La PLL en modulateur de fréquence
14. La PLL en démodulateur de fréquence
15. La PLL dans la synthèse de fréquence
16. Le synthétiseur à prédiviseur
17. Le synthétiseur à diviseur fractionnaire
18. Le circuit boucle à verrouillage de phase CD4046
19. Le circuit synthétiseur MC145151 de Motorola

jean-philippe muller
La boucle à verrouillage de phase

1- Principe de la boucle à verrouillage de phase

Dans le domaine des télécommunications, on a souvent besoin d’un signal dont la fréquence soit à la fois
très stable et variable par pas. C’est le cas de l’oscillateur local qui fournit la porteuse d’un émetteur, ou de
l’oscillateur local d’un récepteur.

Malheureusement, les seuls oscillateurs stables qu’on puisse réaliser facilement sont les oscillateurs à
quartz, et pour ce type d’oscillateur il est difficile de faire varier la fréquence, sauf en changeant le quartz.

La boucle à verrouillage de phase ou Phase Locked Loop ( invention française datant de 1932 ) permet
de répondre à ce problème en fournissant en sortie un signal ayant la stabilité d’un quartz de référence,
mais avec un choix de fréquences quasi illimité.

On trouve une boucle à verrouillage de phase dans tous les équipements modernes :

récepteurs FM, TV
émetteurs récepteurs CB
magnétoscopes
décodeurs TV numériques
modems téléphoniques et câbles
téléphones GSM etc …

Le cœur de la PLL est l’oscillateur qui fournit en sortie un signal en général sinusoïdal ou carré, mais dont
la fréquence instantanée fe(t) est asservie à la fréquence fe(t) du signal injecté dans la boucle.

Rappelons que pour un signal sinusoïdal dont la fréquence varie, on définit la phase instantanée ϕ(t) et la
pulsation instantanée ω(t) par :

v(t) = Acos(Ωt +Φ) ϕ(t) = Ωt +Φ et ω(t) = dϕ(t)


dt
2
Par exemple, si v(t) = 10sin( 5t + 12t + 4 ) alors ϕ(t) = 5t2 + 12t + 4 et ω(t) = 10t + 12

La PLL est donc un asservissement de fréquence ou de phase dont la structure interne est la suivante :

Figure 1.
Structure de
base de la
boucle à u(t) v(t) Oscillateur
verrouillage de Comparateur Filtre commandé en
de phase passe-bas tension
phase. Signal d’entrée ve(t)
de pulsation ωe(t) et Signal de sortie vs(t)
de phase ϕe(t) de pulsation ωs(t) et
de phase ϕs(t)

L’oscillateur VCO qui produit le signal de sortie couvre une certaine plage de fréquence autour d’une valeur
centrale appelée fo. Sa fréquence varie en fonction de la tension de commande v appliquée sur son entrée.

La fréquence du signal en sortie de cet oscillateur est comparée avec la fréquence d’un signal de référence
issu souvent d’un oscillateur à quartz.

Ceci est fait par un comparateur de phase qui fournit à sa sortie une tension u souvent d’allure assez
complexe mais dont la valeur moyenne v commande la fréquence du VCO.

jean-philippe muller
La boucle à verrouillage de phase

2- L’oscillateur commandé en tension

Les PLL peuvent fonctionner dans une gamme très large de fréquences, depuis les audiofréquences
jusqu’à plusieurs gigahertz. Il est évident que les structures de VCO utilisées dépendront de la fréquence à
laquelle doit travailler la boucle.

Pour des fréquences assez basses, le VCO est simplement un convertisseur tension-fréquence, fonction
pour laquelle il existe une multitude de schémas .

Aux fréquences plus élevées, on utilisera un oscillateur à transistors à circuit LC, ou stabilisé en fréquence
par quartz ou résonateur céramique.

La variation de fréquence est obtenue par l’adjonction d’une diode varicap ou varactor en parallèle sur le
circuit oscillant.

Figure 2.
Exemple de Vcc = 12V R1 = R2 = 10 kΩ Vcc
montage de VCO Re = 1 kΩ R = 100 kΩ
à transistor pour C = 10 nF Ca = 47 pF Cb = 10 pF
la gamme des Cd = 25 pF si U = 1V et R1
27MHz. Cd = 4 pF si U = 25V
L = 1,5 µH

R2 L C
Ca C

R Cd
Cb Re
s(t)

La fréquence d’oscillation est déterminée par l’inductance L et la valeur Ce de l’association des


condensateurs C, Cd, Ca et Cb.

La capacité équivalente vaut : Ce = 33,2 pF pour U = 1V, ce qui donne une oscillation à f1 = 22,5 MHz,
alors que pour U = 25V on a Ce = 12,2 pF et f2 = 37,2 MHz.

D’une façon générale, le VCO sera linéarisé autour de son point de fonctionnement fo et caractérisé alors
par sa pente Ko, ou gain statique, définie par :

Ko = variation de la pulsation du signal de sortie


variation de la tension de commande

Ce gain statique est défini autour du point de repos correspondant à la fréquence autour de laquelle
fonctionnera la boucle.

Figure 3.
ωs
Modélisation du
VCO. Pente Ko
Caractéristique du VCO
v(t) Oscillateur ωo
commandé en
tension
Signal de sortie vs(t)
de pulsation ωs(t) et
de phase ϕs(t)

Vo v

jean-philippe muller
La boucle à verrouillage de phase

3- Le comparateur de phase OU exclusif

Le comparateur de phase doit donner en sortie une information sur le déphasage entre le signal de sortie
du VCO et le signal d’entrée de la boucle, et idéalement il fournit une tension proportionnelle à la différence
de phase entre l’entrée et la sortie.

Figure 4.
Signal d’entrée ve(t)
La place du
de pulsation ωe(t) et
comparateur de
de phase ϕe(t) u(t) v(t) Signal de sortie vs(t)
phase. Comparateur Filtre
de phase de pulsation ωs(t) et
passe-bas
OU Exclusif de phase ϕs(t)

Il existe différents types de comparateurs de phase dont le plus courant est le OU Exclusif suivi d’un filtre
passe-bas, qui a l’avantage de la simplicité mais ne fonctionne qu’avec des signaux carrés symétriques.

Figure 5.
Déphasage Φ
Fonctionnement
du comparateur
de phase à OU
exclusif. Ve(t)
phase

Vs(t)

v(t) = Umoyen = Umax.Φ/π

u(t)

Φ π

Le comparateur de phase est linéarisé autour du point de fonctionnement de la boucle défini par fo , ce qui
veut dire qu’il sera caractérisé par un coefficient souvent noté Kd défini par :

Kd = valeur moyenne de la tension en sortie = Umoyen


déphasage entre les signaux d’entrée φ en volts/radian

Pour une porte OU Exclusif alimentée en 15V, la constante Kd vaut : Kd = Vdd = 4,77 V/rad
π

Dans le schéma fonctionnel, ce comparateur de phase se modélise donc de la façon suivante :

Figure 6.
Modélisation du ϕe(t) ϕe-ϕs
comparateur de u(t) v(t)
passe-bas
phase. + Kd F(p)
Signal d’entrée ve(t)
-
de pulsation ωe(t) et
de phase ϕe(t) ϕs(t)
Signal de sortie vs(t)
de pulsation ωs(t) et
de phase ϕs(t)

jean-philippe muller
La boucle à verrouillage de phase

4- Le comparateur phase-fréquence à pompe de charge

On utilise souvent aujourd’hui des comparateurs phase-fréquence avec sortie en courant appelés
aussi comparateurs à pompe de charge.

Une source de courant, commandée par une fonction logique appropriée, fournit en sortie du comparateur
de phase des impulsions de courant I, positives ou négatives, dont le signe et la durée dépendent du
déphasage entre les deux signaux d’entrée du comparateur de phase.

Figure 7.
Fonctionnement
du comparateur
phase-
fréquence.

Le courant moyen en sortie de ce type de comparateur est sensiblement proportionnel au déphasage entre
les deux signaux d’entrée.

Le comparateur de phase sera alors caractérisé par sa transmittance :

Kd = valeur moyenne du courant en sortie = Imoyen


déphasage entre les signaux d’entrée φ en ampères/radian.

Le filtre passe-bas est attaqué en courant en fournit à sa sortie la tension de commande du VCO, sa
transmittance sera donc homogène à une impédance Z(p).

Figure 8.
Filtre de boucle
associé au
comparateur à
pompe de
charge.

Dans le schéma fonctionnel, le comparateur de phase se modélise alors de la façon suivante :

Figure 9.
ϕe(t) ϕe-ϕs
Modélisation du
i(t) v(t)
comparateur de passe-bas
phase. + Kd Z(p)
Signal d’entrée ve(t)
-
de pulsation ωe(t) et
de phase ϕe(t) ϕs(t)
Signal de sortie vs(t)
de pulsation ωs(t) et
de phase ϕs(t)

jean-philippe muller
La boucle à verrouillage de phase

5- Le comparateur de phase à mélangeur

Aux fréquences très élevées, on utilise comme comparateur de phase un multiplieur ( mélangeur Schottky )
suivi d’un filtre passe-bas.

Figure 10.
Structure du ϕe(t) multiplieur e(t)
comparateur de u(t) v(t)
passe-bas
phase à X Kd F(p)
mélangeur.
Signal d’entrée ve(t)
de pulsation ωe(t) et
de phase ϕe(t) ϕs(t)
Signal de sortie vs(t)
de pulsation ωs(t) et
de phase ϕs(t)

Si les signaux d’entrée et de sortie sont déphasés, la tension en sortie du mélangeur s’écrit :

e(t) = K.ve(t).vs(t) = K.Vesin(ω0t + ϕe).Vscos(ω0t + ϕs)


= 0,5.K.Ve.Vs.sin(2ω0t + ϕe + ϕs) + 0,5.K.Ve.Vs.sin(ϕe - ϕs)

Le filtre passe-bas va conserver la partie basse du mélange, soit :

v(t) = 0,5.K.Ve.Vs.sin(ϕe - ϕs)

La caractéristique de ce comparateur de phase a alors l’allure suivante :

Figure 11.
Caractéristique v
du comparateur
de phase à
mélangeur.

-π/2 π/2 ϕe - ϕs

zone utile linéaire

Dans la zone linéaire, le déphasage entre les deux signaux est faible et peut donc assimiler le sinus à
l’angle, soit :

v(t) = 0,5.K.Ve.Vs.sin(ϕe - ϕs) ≈ 0,5.K.Ve.Vs.(ϕe - ϕs) ≈ Kd.(ϕe - ϕs)

Ce comparateur de phase est alors caractérisé par sa constante : Kd = 0,5.K.Ve.Vs

Le schéma fonctionnel est le même qu’avec un OU exclusif.

jean-philippe muller
La boucle à verrouillage de phase

6- Fonctionnement de la boucle

En l’absence de signal injecté à l’entrée de la boucle, ou si la fréquence du signal injecté est en dehors de
la plage de fonctionnement du VCO, la boucle est dite non verrouillée et la fréquence en sortie de la boucle
est égale à la fréquence centrale du VCO. Une boucle non verrouillée n’a aucun intérêt.

Si on injecte dans la boucle un signal de fréquence fe voisin de fo, le système évolue selon un régime
transitoire complexe à étudier pour aboutir au bout d’un temps lié aux caractéristiques du filtre passe-bas et
allant de la microseconde à la milliseconde à une situation stable caractérisée par les points suivants :

- fréquence en sortie rigoureusement égale à la fréquence d’entrée fs = fe


- signaux d’entrée ve(t) et de sortie vs(t) déphasés d’un angle φ
- tension u(t) variable et dont la forme dépend de φ
- tension v(t) continue et égale à la valeur moyenne de u(t)

On dit alors que la boucle est verrouillée. Voici l’allure des signaux aux différents points de la boucle :

Figure 12.
Allure des
signaux aux Ve(t) temps • Les signaux ve(t) et
différents points vs(t) sont déphasés
de la boucle. T
• Les signaux ve(t) et
vs(t) n’ont pas
Vs(t) obligatoirement la
même forme

• Le signal en sortie du
u(t) comparateur de phase
est à une fréquence
double

• Si le filtrage n’est pas


v(t) assez efficace, il
subsiste une ondulation
sur v(t)

Une fois que la boucle est verrouillée ou accrochée, la fréquence d’entrée peut varier dans une certaine
plage sans que cette boucle ne décroche. C’est la plage normale de fonctionnement de la PLL ou plage de
verrouillage caractérisée par l’égalité des fréquences d’entrée et de sortie.

Si la fréquence d’entrée sort de la plage de verrouillage, la boucle décroche et on revient à la situation


d’une boucle non verrouillée. C’est évidemment une situation à éviter dans la pratique !

Figure 13.
Les plages de Pulsation
capture et de La boucle se centrale Ωo La boucle se
verrouillage. verrouille verrouille Pulsation ω
Plage de capture
Plage de verrouillage

La boucle La boucle
décroche décroche

Pour raccrocher la boucle, il faut alors revenir au voisinage de fo et pénétrer dans une zone appelée plage
de capture.

jean-philippe muller
La boucle à verrouillage de phase

7- Schéma fonctionnel de la boucle

Pour des variations autour du point de repos défini par fo, et si on s’intéresse aux phases des signaux
d’entrée et de sortie, le schéma fonctionnel de la boucle s’établit ainsi :

Signal d’entrée ve(t) Signal de sortie vs(t)


de pulsation ωe(t) et de pulsation ωs(t) et
de phase ϕe(t) de phase ϕs(t)

u(t) v(t) ωs ϕs(t)


passe-bas Oscillateur Intégration
+ Kd F(p) Ko 1/p
-

Figure 14. Modèle complet de la boucle en phase.

La transmittance de Laplace en boucle ouverte de ce système asservi s’écrit :

T(p) = φ(s) = Ko.Kd.F(p)


φ(e) p

Il est souvent plus intéressant de travailler avec les pulsations ωs et ωe des signaux d’entrée et de sortie.
On passe facilement au nouveau schéma fonctionnel , sachant que la pulsation est la dérivée de la phase :

ω = dφ(t) soit en Laplace ω(p) = p.φ(p)


dt

Il suffit donc de rajouter une intégration à l’entrée et de sortir sur le VCO :

Signal d’entrée ve(t) Signal de sortie vs(t)


de pulsation ωe(t) de pulsation ωs(t)
ϕe(t)
Intégration u(t) v(t) Oscillateur ωs
passe-bas
1/p Kd F(p) Ko
+
-
ϕs(t)
Intégration
1/p

Figure 15. Modèle complet de la boucle en pulsation.

Ce schéma peut encore se simplifier encore en faisant glisser les deux intégrateurs après le comparateur :

Signal d’entrée ve(t) Signal de sortie vs(t)


de pulsation ωe(t) de pulsation ωs(t)
ϕe(t) - ϕs(t)
ωe(t) Intégration u(t) v(t) Oscillateur
passe-bas ωs(t)
1/p Kd Ko
+ F(p)
-

Figure 16. Modèle complet de la boucle.

Ce schéma fonctionnel ne correspond plus à la réalité puisque le comparateur est maintenant un


comparateur de fréquence, mais a l’avantage d’avoir comme grandeurs d’entrée et de sortie des
fréquences, qui sont plus agréables à manipuler que des phases. La transmittance en boucle ouverte est
identique à celle trouvée précédemment.

jean-philippe muller
La boucle à verrouillage de phase

8- Précision de l’asservissement de phase

Quel que soit le type de filtre passe-bas utilisé, le système contient un intégrateur et est donc au moins de
classe 1 , ce qui implique une erreur nulle à une entrée constante.

En effet, appliquons une variation de consigne en échelon à l'entrée de la PLL :

ωe(t) = ωo soit ωe(p) = ωo/p

l’erreur s’écrit alors :

ε = lim ( ωe(t) - ωs(t) ) = lim p [ ωe(p) ] = lim ( ωo ) = 0


t→∞ p→0 1 + T(p) p→0 1+KoKdF(p)/p

En régime permanent, l’erreur à une entrée constante sera donc nulle, ce qui veut dire qu’on aura
rigoureusement :
f s = fe
Ce résultat très simple est capital pour toutes les applications en synthèse de fréquence :

Lorsque la boucle à verrouillage de phase est verrouillée sur un signal de fréquence fe fixe, la
fréquence fs du signal en sortie du VCO est rigoureusement égale à la fréquence du signal d’entrée.

Lorsque le signal d’entrée a une fréquence qui varie, comme dans les applications de démodulation de
fréquence, l’erreur n’est pas nulle.

Si le filtre est un passe-bas du premier ordre, si la fréquence à l'entrée varie linéairement ωe(t) = Ct,
l'erreur est constante et vaut :

ε = C/KoKd le système suit mais ne rattrape pas

On peut améliorer la précision du système à une entrée variable en utilisant un filtre passe-bas qui introduit
une intégration supplémentaire et qui fera passer le système à un asservissement de classe 2.

jean-philippe muller
La boucle à verrouillage de phase

9- Utilisation d’un filtre de boucle du premier ordre

Il est clair que le type de filtre passe-bas a une influence importante sur le régime transitoire.

Le filtre le plus simple est un simple passe-bas du premier ordre , le plus intéressant est le filtre actif qui
introduit une intégration supplémentaire aux basses fréquences et augmente ainsi la précision sans
dégrader la marge de phase et donc la stabilité.

Le filtre le plus simple a les caractéristiques suivantes :

Figure 17.
Le passe-bas R ωc = 1/τ
moyenneur le 1 ω
plus simple.. F ( p) =
e C s 1 + τp

Le gain de boucle de l’asservissement s’écrit alors :

T(p) = Ko.Kd.
p(1+ τ p)

et la transmittance en boucle fermée :

T’(p) = Ko.Kd
2
KoKd + p + τp

ème
Il s’agit d’un système du 2 ordre fondamental, pour lequel la pulsation propre et l’amortissement
dépendent de Ko et de τ :

KoKd 0,5
σ0 = et m=
τ KoKdτ

Les différents paramètres sont choisis de la façon suivante :

• le gain statique Kd du comparateur de phase dépend du type de comparateur de phase utilisé et n’est
en général pas réglable

• la constante de temps τ est choisie pour avoir un bon filtrage passe-bas, c’est à dire que la fréquence
de coupure correspondante devra être au moins une décade en-dessous de la fréquence centrale Fo
de la boucle

• la pente Ko du VCO sera alors fonction de l’amortissement m désiré ( en général autour de m=0,7)

La pulsation propre ωo et donc le temps de réponse sont alors déterminés et ne peuvent être réglés sans modifier
l’amortissement. C’est l’inconvénient principal lié à l’utilisation d’un filtre passe-bas trop simple.

Néanmoins, ce type de filtre est très pratique lorsque le temps de réponse n’est pas critique, comme par exemple
dans certaines applications de synthèse de fréquence.

jean-philippe muller
La boucle à verrouillage de phase

10- Utilisation d’un filtre de boucle du 2ème ordre

On rencontre souvent dans les PLL le filtre passe-bas actif dont la structure est la suivante :

Figure 18.
R2 C
Filtre de boucle R
actif.
R1
R
-
-
s(t)
+
e(t)
+

Ce filtre a les caractéristiques suivantes :

Figure 19.
Courbe de
réponse du filtre
1+τ2 p
F ( p) =
actif. τ1 p ω1 = 1/τ1
avec τ1 = R1C
ω
et τ2 = R2C ω2 = 1/τ2

Ce filtre introduit une intégration supplémentaire, ce qui augmente la classe du système asservi et améliore
sa précision.

Avec ce filtre actif, la transmittance de boucle s’écrit :

T(p) = Ko.Kd.( 1 + τ2p )


2
τ1.p

ce qui nous donne en boucle fermée un système du deuxième ordre non fondamental:

T’(p) = T(p) = 1 + τ 2p
2
1+T(p) 1+ τ 2 p + τ 1 p /KoKd

Ce système est caractérisé par son amortissement m et sa pulsation propre ωο qui s’écrivent :

KoKd 0,5τ 2
σ0 = et m=
τ1 KoKd
τ1

On dispose maintenant de 3 paramètres de réglage pour la boucle : τ1, τ2 et Ko.

En choisissant des valeurs convenables pour les deux constantes de temps, le concepteur de la boucle à
verrouillage de phase pourra s’assurer d’un régime transitoire correct, c’est à dire un temps de réponse
rapide et un dépassement raisonnable.

jean-philippe muller
La boucle à verrouillage de phase

11- Régime transitoire et amortissement

ème
Il faut cependant remarquer que le système étudié est bien du 2 ordre en boucle fermée, mais il ne s’agit
ème
pas d’un système du 2 ordre fondamental à cause de la présence au numérateur d’un terme en p.

Pour choisir la valeur de l’amortissement m correspondant à un dépassement donné, il faut donc utiliser les
abaques spécifiques à ce système donnés ci-dessous :

Figure 20.
Influence de
l’amortissement
sur la réponse
transitoire de la
boucle.

On constate que pour avoir un amortissement correct et un dépassement limité à 20% il convient de
choisir une valeur de l’ordre de m = 0,7.

jean-philippe muller
La boucle à verrouillage de phase

12- Utilisation d’un 2ème ordre avec une pompe de charge

La boucle à verrouillage de phase construite autour d’un comparateur de phase à pompe de charge
présente l’intérêt de bien fonctionner avec un simple filtre passif.

Figure 21.
Structure de la
boucle à pompe
de charge.

Le schéma fonctionnel de cette boucle s’en déduit facilement :

signal d’entrée ve(t) signal de sortie vs(t)


de pulsation ωe(t) et de pulsation ωs(t) et
de phase ϕe(t) de phase ϕs(t)

i(t) v(t) ωs ϕs(t)


passe-bas Oscillateur Intégration
+ Kd Ko 1/p
Z(p)
-

Figure 22. Schéma fonctionnel de la boucle à pompe de charge.

Kd K0 1+ R1 C1 p
La transmittance en boucle ouverte s’écrit : T(p)= ⋅
(C1 +C2 )p 2 CC
1+ R1 1 2 p
C1 +C2
Par un choix correct des composants R1, C1 et C2, on peut assurer au système bouclé une marge de
phase de l’ordre de 45 degrés et donc une stabilité correcte.

Figure 23.
Diagramme de
Bode du gain de
boucle pour un
filtre bien
dimensionné.

jean-philippe muller
La boucle à verrouillage de phase

13- La PLL en modulateur de fréquence

Lorsqu’on veut produire un signal modulé en fréquence, on est confronté à deux exigences contradictoires
à savoir:

bonne stabilité de la porteuse fo


excursion en fréquence autour de fo suffisante

Un oscillateur LC muni d’une varicap permet d’atteindre le deuxième objectif mais non le premier, et la
situation s’inverse pour l’oscillateur à quartz.

On utilise actuellement deux techniques pour arriver au résultat souhaité:

• oscillateur à quartz suivi de multiplicateurs de fréquence par N qui augmentent l’excursion


• la boucle à verrouillage de phase

Le principe de ce modulateur à PLL est exposé ci-dessous :

Signal
basse-fréquence
s(t)
Signal de sortie vs(t) de pulsation
Signal d’entrée ve(t)
ωs(t) = Ωo + Kos(t)
de pulsation ωe(t) = Ωo fixe

ωe(t) u(t) v(t)


passe-bas + Oscillateur ωs(t)
+ Kd/p F(p) Ko
+
-

Figure 24. Modulateur de fréquence à PLL.

La PLL est accrochée sur un oscillateur à quartz de référence fournissant un signal à la fréquence Fo et on
injecte le signal basse-fréquence modulant à l’entrée du VCO en superposition du signal de commande v.

Supposons que spectre de s(t) contienne des composantes allant de F1 à F2 ( par exemple de 20 Hz à 20
kHz ).

La fréquence de coupure fc du filtre passe-bas sera choisie très inférieure à la plus basse des fréquences
de s(t), soit par exemple fc = 0,1 Hz.

La boucle est alors efficace vis-à-vis des dérives lentes du VCO, mais incapable de réagir devant des
variations rapides de la fréquence de sortie causées par le signal modulant.

Ce système est malheureusement inutilisable dans les applications où la fréquence de la porteuse doit
varier souvent et rapidement ( émetteurs à agilité de fréquence, DECT à 1,8 GHZ ... )

En effet, la faible fréquence de coupure de F(p) implique une constante de temps importante et donc un
régime transitoire très lent.

Une des solutions actuellement utilisée dans le cas des transmissions numériques par trames courtes est
d’ouvrir tout simplement la boucle durant la durée de la modulation.

jean-philippe muller
La boucle à verrouillage de phase

14- La PLL en démodulateur de fréquence

La boucle à verrouillage de phase s’accroche sur un signal modulé en fréquence et permet de récupérer
l’information basse-fréquence. La sortie utile de cette structure se trouve à l’entrée du VCO :

Signal de sortie :
information basse-fréquence
démodulée
s(t)
Signal d’entrée : ve(t) modulé en Signal vs(t) de pulsation
fréquence ωe(t) = ωo+ks(t) ωs(t) = ωe(t)

u(t)
ωe(t) passe-bas v(t) Oscillateur
+ Kd/p F(p) Ko
ωs(t)
-

Figure 25. Démodulateur de fréquence à PLL.

Pour un signal modulé en fréquence, l’erreur n’est sauf cas particulier pas nulle, mais reste faible pour une
boucle dont le filtre est bien calculé.

La fréquence de sortie suit donc d’assez près la fréquence d’entrée, et la tension à l’entrée du VCO variera
donc comme la fréquence. On récupère donc à l’entrée du VCO une image assez fidèle du signal
modulant.

Figure 26.
Exemple de
démodulateur
FSK construit
autour d’un
LM565.

Pour les transmissions numériques en FSK, un simple comparateur permettra une remise en forme du
signal.

jean-philippe muller
La boucle à verrouillage de phase

15- La PLL dans la synthèse de fréquence

La grande famille des synthétiseurs de fréquence permet de produire, à partir d’un oscillateur à quartz de
référence de fréquence fr, un signal dont la fréquence peut varier par pas et dont la stabilité est la même
que celle de l’oscillateur pilote.

Signal d’entrée ve(t)


Signal de sortie vs(t) de
de pulsation ωr fixe
pulsation ωs(t) = ωr.N/M

ωr/M u(t) v(t)


ωr Diviseur par ωs
Passe-bas Oscillateur
M + Kd/p F(p) Ko
-
-

ωs/N
Diviseur
programmable
par N

Entrées de programmation

Figure 27. Le synthétiseur de fréquence de base.

Les relations entre les fréquences sont simples puisque la boucle assure l’égalité des fréquences à
l’entrée du comparateur de phase :

f/N = fr/M soit f = N.fr/M

Le pas de la synthèse est défini par le diviseur R et vaut fr/M et la fréquence de sortie peut être modifiée
simplement en programmant à une autre valeur le diviseur .

Exemple : pour réaliser un synthétiseur dans la gamme CB autour de 27 MHz, les différentes fréquences
d’émission nécessaires valent :

f 1 = 26,965 MHz fn = 26,965 + (n-1).0,01 MHz f45 = 27,405 MHz

Les valeurs n = 3,8,13, 18 et 23 n’étant pas autorisées, il reste donc 40 canaux utiles pour les Cibistes,
allant du Canal 1 (26,965 MHz) au Canal 40 (27,405 MHz) par pas de 10 kHz.

Figure 28.
Exemple d’un
synthétiseur 27 MHz
construit autour d’un
MC145151.
fr = 10240 kHz
M = 2048
Ra0,1,2 = 101
Pas = fr/M = 5 kHz

Canal 1 :
N1 = 5393
f1 = 26,965 MHz

Canal 40 :
N40 = 5481
f1 = 27 ,405 MHz

jean-philippe muller
La boucle à verrouillage de phase

16- Le synthétiseur à prédiviseur

Lorsque la fréquence de sortie du VCO est trop élevée pour le diviseur programmable du circuit
synthétiseur, on intercale un prédiviseur par P dont il existe des versions montant à la dizaine de GHz.

Signal d’entrée ve(t)


Signal de sortie vs(t) de
de pulsation ωr fixe
pulsation ωs(t) = ωr.NP/M

ωr / M u(t) v(t)
ωr Diviseur par ωs
Passe-bas Oscillateur
M + Kd/p F(p) Ko
-

ωs / NP
Diviseur Prédiviseur
programmable par P
par N

Entrées de programmation

Figure 29. Synthétiseur de fréquence à prédiviseur.

Dans cette structure, le pas de la synthèse est plus important puisqu'il a été multiplié par le rapport de
prédivision et vaut maintenant P.fr/M.

Si on veut le diminuer, il faut augmenter M et donc attaquer la boucle avec une fréquence fr/M très basse,
ce qui entraîne pour le filtre de boucle une coupure basse et donc un régime transitoire souvent trop lent si
on recherche une réponse rapide à un changement de programmation (cas du GSM).

Figure 30.
Exemple d’un
émetteur à
synthétiseur pour la
bande FM.

jean-philippe muller
La boucle à verrouillage de phase

17- Le synthétiseur à diviseur fractionnaire

Pour résoudre ce problème qui apparaît dans les systèmes numériques de communication où la fréquence
d’émission ne reste pas constante au cours du temps, on utilise actuellement un prédiviseur par P/P+1
programmable et commandé par le diviseur principal.

Signal d’entrée ve(t) Signal de sortie vs(t)


de pulsation ωr fixe de pulsation ωs(t)

ωr/M u(t) v(t)


ωr Diviseur par ωs
Passe-bas Oscillateur
M + F(p) Ko
Kd/p
-

ωs/NP
2 compteurs Prédiviseur
programmables par P/P+1
A et B

Entrées de programmation
des valeurs A et B

Figure 31. Synthétiseur de fréquence à diviseur fractionnaire.

Le diviseur principal est formé de deux compteurs programmés aux valeurs A et B (avec B >A) et qui
reçoivent simultanément les impulsions du prédiviseur.

Le prédiviseur commence par diviser par P+1 jusqu’à ce que le compteur A soit plein, puis passe à P
jusqu’à ce que B soit plein.

Le rapport de division est alors :

K = ( P + 1 ).A + P.( B - A ) soit K = P.B + A et f = ( B.P + A ) fr/R

Lorsqu’on incrémente A d’une unité, la fréquence augmente d’une quantité fr/R. Le pas est à nouveau de
fr/R, malgré la présence du prédiviseur.

Cette structure, bien conçue, permet de concilier rapidité du régime transitoire et pas de synthèse faible, les
compteurs A et B étant évidemment gérés par un microcontrôleur.

Exemple : on désire synthétiser les fréquences d’émission d’un téléphone portable GSM qui doit pouvoir
émettre sur l’un des 125 canaux espacés de 200kHz et définis par :

f1 = 890 MHz fn = 890 + (n-1).0,2 MHz et f125 = 914,8 MHz

On pourra prendre fr = 12,8 MHz et M = 64. Avec un prédiviseur par 64/65, le canal 1 à 890 MHz sera
obtenu avec A = 34 et B = 69 :

f = ( 69.64 + 34).0,2 = 890 MHz

Le filtre passe-bas doit filtrer un signal à 2.200 = 400 kHz, on pourra donc choisir une fréquence de coupure
de fc = 10 kHz, ce qui correspond à un temps de réponse en régime transitoire de l’ordre de 15 µs,
satisfaisant pour le GSM.

jean-philippe muller
La boucle à verrouillage de phase

18- Le circuit boucle à verrouillage de phase CD4046

Ce circuit CMOS permet de réaliser une boucle à verrouillage de phase et contient :

un oscillateur commandé en tension, quasi linéaire une sur grande plage de tension d’entrée
un comparateur de phase à OU exclusif
un comparateur de phase-fréquence logique sortant en tension ( sans pompe de charge)
un suiveur de tension pour une utilisation en démodulateur

La plage de fonctionnement du VCO est définie par 3 composants : R1, R2 et C en fonction d’abaques
fournies par le constructeur.

Avec une résistance R2 infinie, le VCO


couvre une plage allant de 0 à 2f0.

La fréquence centrale f0 est définie par


R1 et C1 grâce à l’abaque ci-cintre.

Avec une résistance R2, le


VCO couvre une plage allant
de fmin à fmax.

La fréquence fmin et le
rapport fmax/fmin sont définis
par R1, R2 et C1 grâce aux
deux abaques ci-cintre.

jean-philippe muller
La boucle à verrouillage de phase

19- Le circuit synthétiseur MC145151 de Motorola

Ce circuit contient tous les éléments nécessaires à la réalisation d’un synthétiseur, hormis le VCO et le filtre
passe-bas :

un diviseur d’entrée programmable R = 8, 128, 256, 512, 1024, 2048, 2410, 8192
un diviseur de retour N = 3 to 16383 à entrées de programmation parallèles
deux comparateurs de phase OU exclusif ou phase-fréquence

jean-philippe muller

You might also like