You are on page 1of 35

XILINX

FARHAN MAHMOOD
INTRODUCTION
Founded in America in 1984
by Ross Freeman, Bernard
Vonderschmitt, and James V
Barnett II
Xilinx is the world leading
manufacturer and provider
of All Programmable FPGAs,
SoCs, MPSoCs and 3D ICs.
DEVICES CATEGORIES [1]

Products are categorized according to their performance, optimisation,


power and price

FPGAs and 3DICs Low End Portfolio


price/performance/watt ratio cost effective FPGA and SoC
SoC and MPSoCs CPLDs
maximum performance and high performance and ultra
power low power consumption
3DICs
highest bandwidth and
integration
FPGAS AND 3DICS
PRICE/PERFORMANCE/WATT RATIO
OFFERING [2]

45nm 28nm 20nm 16nm


Spartan Virtex Virtex Virtex
UltraScale UltraScale+
- Kintex Kintrex Kintex
UltraScale UltraScale+
- Artix - -
SPARTAN 45NM OVERVIEW [3]

Provides the lowest total cost for high volume applications.


Low power copper process technology delivering optimal
balance of cost, power, and performance.
Efficient LUT logic gates
Provide low cost programmable alternative to custom ASIC
products and ease of use.
Best solution for cost sensitive embedded applications.
SPARTAN-6 FEATURES
Spartan-6 family consists of two types:
1: LX for logic optimized
2: LXT for high speed serial connectivity
SPARTAN-6 FAMILY [3]

LX FPGA LXT FPGA

Optimized for absolute low cost

Support upto 147K logic cell and upto 4.8Mb memory


Optimized to provide industrys lowest risk and lowest cost
Integrated memory controllers solution for serial connectivity.

Integrated DSP slices Extends LX devices by adding upto eight 3.2Gb/s GTP transceivers
and an integrated block for PCI Express
High performance integrated IP with support for industry
standards
SPARTAN-6 LX45T FPGA [3]

Serving as a companion to the host


processor, a single Spartan-6 LX45T FPGA
supports audio/video acceleration,
graphics subsystem, and vehicle
networking functions.
28NM FAMILY [2]

Contains three different products


Artix-7 Family
Kintex-7 Family
Virtex-7 Famliy
FEATURES [4]

Advanced high-performance FPGA logic based on real 6-input


LUT technology configurable as distributed memory.
High-performance Select I/O technology with support for
DDR3 RAM interfaces up to 1,866Mb/s
High-speed serial connectivity with built-in multi-gigabit
transceivers from 600Mb/s upto 28.05Gb/s, offering a special
low-power mode
Designed for high performance and lowest power with 28 nm,
1.0V core voltage
VIRTEX-7 KEY CAPABILITIES [4]

High capacity FPGAs with upto 2million logic cells, 85Mb


block RAM and 3600 DSP slices.
Three varieties of low-power serial transceivers with 12.5Gb/s
GTX transceivers and 13.1Gb/s GTH transceivers and
28.05Gb/s GTZ transceivers support for next-generation
optics.
Bring product to market faster by faster design process and
less risk with pre-verified IP cores
Debug logic and serial interfaces quickly with the real-time
verification capabilities of ChipScope Pro tools
VIRTEX-7 FPGA (7VH870T) [4]
KINTEX-7 KEY CAPABILITIES [5]

Twice the price performance and lower cost with


performance-boosting 1,866Mbps memory interface; 639MHz
DSP48E1 slices with high performance filtering capabilities
and six-input LUT.
Maximize connectivity and stay within budget by letting
designers choose a package with the right combination of
price and performance for the application
Half the power consumption compared to alternative 28nm
High Performance (HP) process with additional power
reductions from intelligent clock gating.
ARCHITECTURE KINTEX-7 FPGA [5]
ARTIX-7 KEY CAPABILITIES [6]

Twice the capacity, half the power and comparable cost


New levels of performance with 6.6Gb/s transceivers enabling
211Gb/s peak bandwidth and 740 DSP48E1 slices and upto
1,066Mb/s DDR3 memory
Integrated memory interface for streamlined access to
video and data
Small package with Low-cost chip-scale BGA packaging;
Available in a 10x10mm package for maximum system
integration
Low risk, 28nm process, architecture, and quality Integrated
IP blocks to reduce development time and risk.
ARTIX-7 USE AS INDUSTRIAL PLC [6]
20NM ULTRASCALE [7]

VIRTEX KINTEX

Most capable high-performance FPGAs achieving highest system High performance FPGAs with a focus on price/performance
capacity, bandwidth, and performance
High DSP and block RAM-to-logic ratios and next-generation
transceivers, combined with low-cost packaging, enable an
Optimized to address key market and application requirements
delivering unprecedented embedded memory and serial optimum blend of capability and cost.
connectivity capabilities.
16NM ULTRASCALE + [7]

VIRTEX KINTEX

Increased performance and on-chip UltraRAM memory, providing


Highest transceiver bandwidth, highest DSP count, and highest on- the ideal mix of high-performance peripherals and cost-effective
chip memory available in the industry for the ultimate in system system implementation
performance
Provide numerous power options that deliver the optimal balance
Provide numerous power options that deliver the optimal balance between required system performance and the smallest power
between required system performance and the smallest power envelope.
envelope.
SOCS AND MPSOCS [8]

Low end Mid-Range High End


Zynq 7000 SoC Zynq Zynq
Artix UltraScale+ CG UltraScale+ EV
MPSoC MPSoC
Zynq 7000 SoC Zynq
Kintex UltraScale+ EG
MPSoC
ZYNQ 7000 SOC [9]

Combination of software programmability of an ARM-based


processor with the hardware programmability of an FPGA
Largest and Highest-Performance Memory System with 256KB
On-Chip Memory and 512KB L2 Cache
Flexible, tunable power envelope for adjustable processor,
interconnect, and memory speeds
Dedicated, full custom, low-power DSP slices with upto 2,020
DSP blocks
Comprehensive collection from open-source to best-in-class
commercial operating systems including Linux and Android
ZYNQ ULTRASCALE+ MPSOC [10]

Quad or Dual-core ARM Cortex A53 APU with exceptional


performance-per-watt and hardware virtualization with
terabyte memory access
Dual-core ARM Cortex-R5 Real-Time Processing Unit
ARM Mali-400 MP2 Graphics Processing Unit
Platform Management Unit for power, safety, and reliability
High-Speed Connectivity and advanced Security, Safety, and
Reliability
Low-power 16nm FinFET+ FPGA Fabric
Open Source Operating Systems and System Software to
configure and manage system activities between
ZYNQ ULTRASCALE+ CG [10/11]
ZYNQ ULTRASCALE+ EG [10/11]
ZYNQ ULTRASCALE+ EV [10/11]
3D ICS [12]

Based on UltraScale Architecture


Utilize stacked silicon interconnect (SSI) technology
Homogeneous and Heterogeneous 3DICs deliver the highest
logic density, bandwidth, and on-chip resources
big increase in routing and bandwidth
Offers ten 3D IC devices inVirtex UltraScale+,Virtex
UltraScale,Kintex UltraScale, andVirtex-7families
3DICS [12]

This technology provides next level of advanced system


integration for applications that require high logic density and
tremendous computational performance.
CPLD (COOLRUNNER-II FAMILY) [13]

Low static power


Easy to use
Enhanced with features such as DataGATE, advance I/Os
Industry's smallest form factor and low cost packaging
Reduced system cost using advanced features
CPLD FEATURES [14]
CPLD APPLICATIONS [14]

Consumer
Wireless communications
Industrial
Medical equipment
FUTURE OF XILINX [15]

Till 2011- RTL based


FPGA
2012 - HW and SW
defined FPGA and
SoCs
2015 SDx and
MPSoCs
5 times more
potential system and
software engineers
FUTURE OF XILINX [15]

Video/Vision machine that


interprets and acts on what
it sees (ADAS)
I-IoT smart industries,
smart systems, smart cities
5G wireless technology
helping to connect next gen
smart systems
SDN/NFV software defined
networks and network
functions virtualization
leveraging cloud networking
FUTURE XILINX [15]
REFERENCES
[1] Xilinx.com. (2016).Programmable Devices. [online] Available at:
https://www.xilinx.com/products/silicon-devices.html [Accessed 12 sept. 2016].

[2] Xilinx.com. (2016). All Programmable FPGAs and 3D ICs. [online] Available at:
https://www.xilinx.com/products/silicon-devices/fpga.html [Accessed 12 Sep. 2016].

[3] Spartan-6 Product Brief, (2016). [online] Available at:


https://www.xilinx.com/support/documentation/product-briefs/spartan6-lowcost-product-brief.pdf
[Accessed 13 Sep. 2016].

[4] virtex7-product-brief, (2016). [online] Available at:


https://www.xilinx.com/support/documentation/product-briefs/virtex7-product-brief.pdf [Accessed
13 Sep. 2016].

[5] . kintex-7 product-brief, (2016). [online] Available at:


https://www.xilinx.com/support/documentation/product-briefs/kintex7-product-brief.pdf [Accessed
13 Sep. 2016].

[6] . artix-7 product-brief, (2016). [online] Available at:


https://www.xilinx.com/support/documentation/product-briefs/artix7-product-brief.pdf [Accessed 13
Sep. 2016].

[7] UltraScale architecture and product overview, (2016). [online] Available at:
REFERENCES (CONTINUED)
[9] zynq 7000 product brief, (2016). [online] Available at:
https://www.xilinx.com/support/documentation/product-briefs/zynq-7000-product-brief.pdf [Accessed
15 Sep. 2016].

[10] Xilinx.com. (2016).Zynq UltraScale+ MPSoC. [online] Available at:


https://www.xilinx.com/products/silicon-devices/soc/zynq-ultrascale-mpsoc.html [Accessed 15 Sep.
2016]

[11] Xilinx.com. (2016). [online] Available at:


https://www.xilinx.com/support/documentation/selection-guides/zynq-ultrascale-plus-product-
selection-guide.pdf#CG [Accessed 15 Sep. 2016].

[12] Xilinx.com. (2016).3D ICs. [online] Available at: https://www.xilinx.com/products/silicon-


devices/3dic.html [Accessed 14 Sep. 2016].

[13] Xilinx.com. (2016).CPLD. [online] Available at: https://www.xilinx.com/products/silicon-


devices/cpld.html [Accessed 18 Sep. 2016].

[14] Xilinx.com. (2016).CoolRunner-II CPLDs. [online] Available at:


https://www.xilinx.com/products/silicon-devices/cpld/coolrunner-ii.html [Accessed 19 Sep. 2016].

[15] YouTube. (2016).Xilinx Corporate and Portfolio Transformation. [online] Available at:
https://www.youtube.com/watch?v=9jtvNPySPkc [Accessed 28 Sep. 2016].

You might also like